Get 20M+ Full-Text Papers For Less Than $1.50/day. Start a 14-Day Trial for You or Your Team.

Learn More →

Perspectives of reactive ion etching of silicate glasses for optical microsystems

Perspectives of reactive ion etching of silicate glasses for optical microsystems Abstract.We provide a review of the latest research findings as well as the future potential of plasma-based etching technology for the fabrication of micro-optical components and systems. Reactive ion etching (RIE) in combination with lithographic patterning is a well-established technology in the field of micro- and nanofabrication. Nevertheless, practical implementation, especially for plasma-based patterning of complex optical materials such as alumino-silicate glasses or glass-ceramics, is still largely based on technological experience rather than established models. Such models require an in-depth understanding of the underlying chemical and physical processes within the plasma and at the glass–plasma/mask–plasma interfaces. We therefore present results that should pave the way for a better understanding of processes and thus for the extension of RIE processes toward innovative three-dimensional (3D) patterning as well as for the processing of chemically and structurally inhomogeneous silicate-based substrates. To this end, we present and discuss the results of a variety of microstructuring strategies for different application areas with a focus on micro-optics. We consider the requirements for refractive and diffractive micro-optical systems and highlight potentials for 3D dry chemical etching by selective tailoring of the material structure. The results thus provide first steps toward a knowledge-based approach to RIE processing of universal dielectric glass materials for optical microsystems, which also has a significant impact on other microscale applications. http://www.deepdyve.com/assets/images/DeepDyve-Logo-lg.png Journal of Optical Microsystems SPIE

Loading next page...
 
/lp/spie/perspectives-of-reactive-ion-etching-of-silicate-glasses-for-optical-KWDQ1F5zF0

References (127)

Publisher
SPIE
Copyright
© The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
ISSN
2708-5260
eISSN
2708-5260
DOI
10.1117/1.jom.1.4.040901
Publisher site
See Article on Publisher Site

Abstract

Abstract.We provide a review of the latest research findings as well as the future potential of plasma-based etching technology for the fabrication of micro-optical components and systems. Reactive ion etching (RIE) in combination with lithographic patterning is a well-established technology in the field of micro- and nanofabrication. Nevertheless, practical implementation, especially for plasma-based patterning of complex optical materials such as alumino-silicate glasses or glass-ceramics, is still largely based on technological experience rather than established models. Such models require an in-depth understanding of the underlying chemical and physical processes within the plasma and at the glass–plasma/mask–plasma interfaces. We therefore present results that should pave the way for a better understanding of processes and thus for the extension of RIE processes toward innovative three-dimensional (3D) patterning as well as for the processing of chemically and structurally inhomogeneous silicate-based substrates. To this end, we present and discuss the results of a variety of microstructuring strategies for different application areas with a focus on micro-optics. We consider the requirements for refractive and diffractive micro-optical systems and highlight potentials for 3D dry chemical etching by selective tailoring of the material structure. The results thus provide first steps toward a knowledge-based approach to RIE processing of universal dielectric glass materials for optical microsystems, which also has a significant impact on other microscale applications.

Journal

Journal of Optical MicrosystemsSPIE

Published: Oct 1, 2021

Keywords: optical microsystems; diffractive optics; silicate glasses; reactive ion etching; optical micro- and nanostructures; three-dimensional microstructuring

There are no references for this article.