Access the full text.
Sign up today, get DeepDyve free for 14 days.
B. Paul, Kunhyuk Kang, H. Kufluoglu, M. Alam, K. Roy (2005)
Impact of NBTI on the temporal performance degradation of digital circuitsIEEE Electron Device Letters, 26
K. Hoffman, T. Ralphs (2013)
Integer and Combinatorial Optimization
Jayanth Srinivasan, S. Adve, P. Bose, J. Rivers (2004)
The impact of technology scaling on lifetime reliabilityInternational Conference on Dependable Systems and Networks, 2004
A. Papoulis (1965)
Probability, Random Variables and Stochastic Processes
Jin Sun, Jun Li, D. Ma, Janet Roveda (2008)
Chebyshev Affine-Arithmetic-Based Parametric Yield Prediction Under Limited Descriptions of UncertaintyIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27
Peng Rong, Massoud Pedram (2006)
Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time systemAsia and South Pacific Conference on Design Automation, 2006.
W. Hung, Yuan Xie, N. Vijaykrishnan, M. Kandemir, M. Irwin (2005)
Thermal-aware task allocation and scheduling for embedded systemsDesign, Automation and Test in Europe
Chin-Hung Lin, Ing-Chao Lin, Kuan-Hui Li (2011)
TG-based technique for NBTI degradation and leakage optimizationIEEE/ACM International Symposium on Low Power Electronics and Design
M. Ruggiero, A. Guerri, D. Bertozzi, Francesco Poletti, M. Milano (2006)
Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chipProceedings of the Design Automation & Test in Europe Conference, 1
A. Schrijver (1986)
Theory of linear and integer programming
Montek Singh, S. Nowick (2010)
ACM Journal on Emerging Technologies in Computing SystemsACM Trans. Design Autom. Electr. Syst., 16
Kypros Constantinides, Stephen Plaza, J. Blome, V. Bertacco, S. Mahlke, T. Austin, Bin Zhang, M. Orshansky (2007)
Architecting a reliable CMP switch architectureACM Trans. Archit. Code Optim., 4
Brian Greskamp, S. Sarangi, J. Torrellas (2007)
Threshold Voltage Variation Effects on Aging-Related Hard Failure Rates2007 IEEE International Symposium on Circuits and Systems
J. Proakis (1985)
Probability, random variables and stochastic processesIEEE Trans. Acoust. Speech Signal Process., 33
K. Skadron, M. Stan, K. Sankaranarayanan, Wei Huang, S. Velusamy, D. Tarjan (2004)
Temperature-aware microarchitecture: Modeling and implementationACM Trans. Archit. Code Optim., 1
Chen Gang, K. Chuah, Meng Li, D. Chan, Chew Ang, Jiachun Zheng, Yunye Jin, Dim-Lee Kwong (2003)
Dynamic NBTI of PMOS transistors and its impact on device lifetime2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual.
Matthew Guthaus, J. Ringenberg, Dan Ernst, T. Austin, T. Mudge, Richard Brown (2001)
MiBench: A free, commercially representative embedded benchmark suiteProceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538)
S. Sarangi, Brian Greskamp, A. Tiwari, J. Torrellas (2008)
EVAL: Utilizing processors with variation-induced timing errors2008 41st IEEE/ACM International Symposium on Microarchitecture
S. Sarangi, Brian Greskamp, R. Teodorescu, Jun Nakano, A. Tiwari, J. Torrellas (2008)
VARIUS: A Model of Process Variation and Resulting Timing Errors for MicroarchitectsIEEE Transactions on Semiconductor Manufacturing, 21
A. Coskun, T. Simunic, K. Whisnant (2007)
Temperature Aware Task Scheduling in MPSoCs2007 Design, Automation & Test in Europe Conference & Exhibition
Yu Wang, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie (2007)
Temperature-aware NBTI modeling and the impact of input vector control on performance degradation2007 Design, Automation & Test in Europe Conference & Exhibition
Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, R. Vattikonda, S. Vrudhula, Frank Liu, Yu Cao (2007)
The Impact of NBTI on the Performance of Combinational and Sequential Circuits2007 44th ACM/IEEE Design Automation Conference
Songqing Zhang, V. Wason, K. Banerjee (2004)
Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variationsProceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
M. Alam, Souvik Mahapatra (2005)
A comprehensive model of PMOS NBTI degradationMicroelectron. Reliab., 45
G. Cox, B. Langford (2006)
~ " " " ' l I ~ " " -" . : -· " J
Chunho Lee, M. Potkonjak, W. Mangione-Smith (1997)
MediaBench: a tool for evaluating and synthesizing multimedia and communications systemsProceedings of 30th Annual International Symposium on Microarchitecture
H. El-Rewini, T. Lewis, H. Ali (1994)
Task scheduling in parallel and distributed systems
Edward Lee, D. Messerschmitt (1987)
Synchronous data flowProceedings of the IEEE, 75
V. Reddy, A. Krishnan, A. Marshall, John Rodriguez, S. Natarajan, T. Rost, S. Krishnan (2002)
Impact of negative bias temperature instability on digital circuit reliability2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320)
G. Memik, W. Mangione-Smith, Wendong Hu (2001)
NetBench: a benchmarking suite for network processorsIEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281)
M. Fischetti, Andrea Lodi (2003)
Local branchingMathematical Programming, 98
Guilherme Ottoni, David August (2007)
Global Multi-Threaded Instruction Scheduling40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
K. Waldschmidt, Jan Haase, Andreas Hofmann, Markus Damm, D. Hauser (2006)
Reliability-Aware Power Management Of Multi-Core Systems (MPSoCs)
Sarvesh Bhardwaj, Wenping Wang, R. Vattikonda, Yu Cao, S. Vrudhula (2006)
Predictive Modeling of the NBTI Effect for Reliable DesignIEEE Custom Integrated Circuits Conference 2006
(2014)
Workload assignment considering NBTI degradation in multicore systems
M. Basoglu, M. Orshansky, M. Erez (2010)
NBTI-aware DVFS: A new approach to saving energy and increasing processor lifetime2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED)
David Bild, Gregory Bok, R. Dick (2009)
Minimization of NBTI performance degradation using internal node control2009 Design, Automation & Test in Europe Conference & Exhibition
J. Abella, Xavier Vera, Antonio González (2007)
Penelope: The NBTI-Aware Processor40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
Jayanth Srinivasan, S. Adve, P. Bose, J. Rivers (2005)
Exploiting structural duplication for lifetime reliability enhancement32nd International Symposium on Computer Architecture (ISCA'05)
A. Schrijver (2003)
Combinatorial optimization. Polyhedra and efficiency.
Workload Assignment Considering NBTI Degradation in Multicore Systems JIN SUN and ROMAN LYSECKY, The University of Arizona KARTHIK SHANKAR, University of Texas at Austin AVINASH KODI, Ohio University AHMED LOURI and JANET ROVEDA, The University of Arizona With continuously shrinking technology, reliability issues such as Negative Bias Temperature Instability (NBTI) has resulted in considerable degradation of device performance, and eventually the short mean-timeto-failure (MTTF) of the whole multicore system. This article proposes a new workload balancing scheme based on device-level fractional NBTI model to balance the workload among active cores while relaxing stressed ones. Starting with NBTI-induced threshold voltage degradation, we define a concept of Capacity Rate (CR) as an indication of one core's ability to accept workload. Capacity rate captures core's performance variability in terms of delay and power metrics under the impact of NBTI aging. The proposed workload balancing framework employs the capacity rates as workload constraints, applies a Dynamic Zoning (DZ) algorithm to group cores into zones to process task flows, and then uses Dynamic Task Scheduling (DTS) to allocate tasks in each zone with balanced workload and minimum communication cost. Experimental results on a 64-core system show that by allowing a small part of
ACM Journal on Emerging Technologies in Computing Systems (JETC) – Association for Computing Machinery
Published: Jan 1, 2014
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.