Get 20M+ Full-Text Papers For Less Than $1.50/day. Start a 14-Day Trial for You or Your Team.

Learn More →

WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory

WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory YOOSEONG KIM, Arizona State University DAVID BROMAN, KTH Royal Institute of Technology AVIRAL SHRIVASTAVA, Arizona State University Scratchpad memory (SPM) is a promising on-chip memory choice in real-time and cyber-physical systems where timing is of the utmost importance. SPM has time-predictable characteristics since its data movement between the SPM and the main memory is entirely managed by software. One way of such management is dynamic management. In dynamic management of instruction SPMs, code blocks are dynamically copied from the main memory to the SPM at runtime by executing direct memory access (DMA) instructions. Code management techniques try to minimize the overhead of DMA operations by finding an allocation scheme that leads to efficient utilization. In this article, we present three function-level code management techniques. These techniques perform allocation at the granularity of functions, with the objective of minimizing the impact of DMA overhead to the worst-case execution time (WCET) of a given program. The first technique finds an optimal mapping of each function to a region using integer linear programming (ILP), whereas the second technique is a polynomial-time heuristic that is suboptimal. The third technique maps functions directly to SPM http://www.deepdyve.com/assets/images/DeepDyve-Logo-lg.png ACM Transactions on Embedded Computing Systems (TECS) Association for Computing Machinery

WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory

Loading next page...
 
/lp/association-for-computing-machinery/wcet-aware-function-level-dynamic-code-management-on-scratchpad-memory-u3AiUi7tpu

References (49)

Publisher
Association for Computing Machinery
Copyright
Copyright © 2017 by ACM Inc.
ISSN
1539-9087
DOI
10.1145/3063383
Publisher site
See Article on Publisher Site

Abstract

WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory YOOSEONG KIM, Arizona State University DAVID BROMAN, KTH Royal Institute of Technology AVIRAL SHRIVASTAVA, Arizona State University Scratchpad memory (SPM) is a promising on-chip memory choice in real-time and cyber-physical systems where timing is of the utmost importance. SPM has time-predictable characteristics since its data movement between the SPM and the main memory is entirely managed by software. One way of such management is dynamic management. In dynamic management of instruction SPMs, code blocks are dynamically copied from the main memory to the SPM at runtime by executing direct memory access (DMA) instructions. Code management techniques try to minimize the overhead of DMA operations by finding an allocation scheme that leads to efficient utilization. In this article, we present three function-level code management techniques. These techniques perform allocation at the granularity of functions, with the objective of minimizing the impact of DMA overhead to the worst-case execution time (WCET) of a given program. The first technique finds an optimal mapping of each function to a region using integer linear programming (ILP), whereas the second technique is a polynomial-time heuristic that is suboptimal. The third technique maps functions directly to SPM

Journal

ACM Transactions on Embedded Computing Systems (TECS)Association for Computing Machinery

Published: May 11, 2017

There are no references for this article.