Access the full text.
Sign up today, get DeepDyve free for 14 days.
Hui Wu, Jingling Xue, S. Parameswaran (2010)
Optimal WCET-aware code selection for scratchpad memory
Vivy Suhendra, Abhik Roychoudhury, T. Mitra (2010)
Scratchpad allocation for concurrent embedded softwareACM Trans. Program. Lang. Syst., 32
Aayush Prakash, Hiren Patel (2012)
An instruction scratchpad memory allocation for the precision timed architecture2012 Design, Automation & Test in Europe Conference & Exhibition (DATE)
Fuyang Li, Mengying Zhao, C. Xue (2015)
C3: Cooperative Code Positioning and Cache Locking for WCET Minimization2015 IEEE 21st International Conference on Embedded and Real-Time Computing Systems and Applications
M. Kandemir, A. Choudhary (2002)
Compiler-directed scratch pad memory hierarchy design and managementProceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)
G. Gracioli, A. Alhammad, R. Mancuso, A. Fröhlich, R. Pellizzoni (2015)
A Survey on Cache Management Mechanisms for Real-Time Embedded SystemsACM Computing Surveys (CSUR), 48
Junhyung Um, Taewhan Kim (2003)
Code placement with selective cache activity minimization for embedded real-time software designICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486)
Sascha Plazar, Jan Kleinsorge, P. Marwedel, H. Falk (2012)
WCET-aware static locking of instruction caches
C. Ferdinand, R. Wilhelm (1999)
Efficient and Precise Cache Behavior Prediction for Real-Time SystemsReal-Time Systems, 17
J. Stankovic, S. Son, G. Buttazzo (2007)
HARD REAL-TIME COMPUTING SYSTEMS Predictable Scheduling Algorithms and Applications
Oren Avissar, R. Barua, D. Stewart (2002)
An optimal memory allocation scheme for scratch-pad-based embedded systemsACM Trans. Embed. Comput. Syst., 1
Benedikt Huber, Stefan Hepp, Martin Schoeberl (2014)
Scope-Based Method Cache Analysis
J. Kahle, M. Day, H. Hofstee, C. Johns, T. Maeurer, D. Shippy (2005)
Introduction to the Cell multiprocessorIBM J. Res. Dev., 49
Bernhard Egger, C. Kim, Choonki Jang, Yoonsung Nam, Jaejin Lee, S. Min (2006)
A dynamic code placement technique for scratchpad memory using postpass optimization
Michael Zimmer, David Broman, C. Shaver, Edward Lee (2014)
FlexPRET: A processor platform for mixed-criticality systems2014 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS)
N. Binkert, Bradford Beckmann, Gabriel Black, S. Reinhardt, A. Saidi, Arkaprava Basu, Joel Hestness, Derek Hower, T. Krishna, S. Sardashti, Rathijit Sen, Korey Sewell, Muhammad Altaf, Nilay Vaish, M. Hill, D. Wood (2011)
The gem5 simulatorSIGARCH Comput. Archit. News, 39
Huping Ding, Yun Liang, T. Mitra (2014)
WCET-Centric dynamic instruction cache locking2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
Vivy Suhendra, T. Mitra, Abhik Roychoudhury, Ting Chen (2005)
WCET centric data allocation to scratchpad memory26th IEEE International Real-Time Systems Symposium (RTSS'05)
A. Pabalkar, Aviral Shrivastava, Arun Kannan, Jongeun Lee (2008)
SDRM: simultaneous determination of regions and function-to-region mapping for scratchpad memories
I. Puaut, Christophe Pais (2007)
Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison2007 Design, Automation & Test in Europe Conference & Exhibition
Philip Axer, R. Ernst, H. Falk, A. Girault, Daniel Grund, Nan Guan, B. Jonsson, P. Marwedel, J. Reineke, Christine Rochange, M. Sebastian, R. Hanxleden, R. Wilhelm, W. Yi (2014)
Building timing predictable embedded systemsACM Transactions on Embedded Computing Systems (TECS), 13
R. Banakar, S. Steinke, Bo-Sik Lee, M. Balakrishnan, P. Marwedel (2002)
Scratchpad memory: a design alternative for cache on-chip memory in embedded systemsProceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627)
Sumesh Udayakumaran, A. Dominguez, R. Barua (2006)
Dynamic allocation for scratch-pad memory using compile-time decisionsACM Trans. Embed. Comput. Syst., 5
H. Falk, Helena Kotthaus (2011)
WCET-driven cache-aware code positioning2011 Proceedings of the 14th International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES)
H. Falk, Jan Kleinsorge (2009)
Optimal static WCET-aware scratchpad allocation of program code2009 46th ACM/IEEE Design Automation Conference
Manish Verma, L. Wehmeyer, P. Marwedel (2004)
Dynamic overlay of scratchpad memory for energy minimizationInternational Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004.
Nan Guan, Mingsong Lv, W. Yi, Ge Yu (2012)
WCET Analysis with MRU Caches: Challenging LRU for Predictability2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium
Qing Wan, Hui Wu, Jingling Xue (2012)
WCET-aware data selection and allocation for scratchpad memory
Jean-François Deverge, I. Puaut (2007)
WCET-Directed Dynamic Scratchpad Memory Allocation of Data19th Euromicro Conference on Real-Time Systems (ECRTS'07)
S. Bradley, Arnoldo Hax, T. Magnanti (1977)
Applied Mathematical Programming
C. Ferdinand, Reinhold Heckmann (2004)
Worst case execution time prediction by static program analysis18th International Parallel and Distributed Processing Symposium, 2004. Proceedings.
S. Steinke, L. Wehmeyer, Bo-Sik Lee, P. Marwedel (2002)
Assigning program and data objects to scratchpad for energy reductionProceedings 2002 Design, Automation and Test in Europe Conference and Exhibition
Vivy Suhendra, T. Mitra (2008)
Exploring locking & partitioning for predictable shared caches on multi-cores2008 45th ACM/IEEE Design Automation Conference
Hokeun Kim, David Broman, Edward Lee, Michael Zimmer, Aviral Shrivastava, Junkwang Oh (2015)
A predictable and command-level priority-based DRAM controller for mixed-criticality systems21st IEEE Real-Time and Embedded Technology and Applications Symposium
S. Jung, Aviral Shrivastava, Ke Bai (2010)
Dynamic code mapping for limited local memory systemsASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors
Ke Bai, Jing Lu, Aviral Shrivastava, Bryce Holton (2013)
CMSM: An efficient and effective Code Management for Software Managed Multicores2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Michael Baker, Amrit Panda, Nikhil Ghadge, Aniruddha Kadne, Karam Chatha (2010)
A performance model and code overlay generator for scratchpad enhanced embedded processors2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Matthew Guthaus, J. Ringenberg, Dan Ernst, T. Austin, T. Mudge, Richard Brown (2001)
MiBench: A free, commercially representative embedded benchmark suiteProceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538)
Andhi Janapsatya, A. Ignjatović, S. Parameswaran (2006)
A novel instruction scratchpad memory optimization method based on concomitance metricAsia and South Pacific Conference on Design Automation, 2006.
M. Balakrishnan, P. Marwedel, L. Wehmeyer, Nils Grunwald, R. Banakar, S. Steinke (2002)
Reducing energy consumption by dynamic copying of instructions onto onchip memory15th International Symposium on System Synthesis, 2002.
Christoph Cullmann (2013)
Cache persistence analysisACM Transactions on Embedded Computing Systems (TECS), 12
Uday Khedker, A. Sanyal, Bageshri Karkare (2009)
Data Flow Analysis: Theory and Practice
J. Gustafsson, A. Betts, Andreas Ermedahl, B. Lisper (2010)
The Mälardalen WCET Benchmarks: Past, Present And Future
Youngbin Kim, Jian Cai, Yooseong Kim, Kyoungwoo Lee, Aviral Shrivastava (2016)
Splitting functions in code management on scratchpad memories2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Isaac Liu, J. Reineke, David Broman, Michael Zimmer, Edward Lee (2012)
A PRET microarchitecture implementation with repeatable timing and competitive performance2012 IEEE 30th International Conference on Computer Design (ICCD)
Edward Lee (2008)
Cyber Physical Systems: Design Challenges2008 11th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC)
R. Wilhelm, Jakob Engblom, Andreas Ermedahl, N. Holsti, S. Thesing, D. Whalley, G. Bernat, C. Ferdinand, Reinhold Heckmann, T. Mitra, F. Mueller, I. Puaut, P. Puschner, J. Staschulat, P. Stenström (2008)
The worst-case execution-time problem—overview of methods and survey of toolsACM Trans. Embed. Comput. Syst., 7
Tiantian Liu, Yingchao Zhao, Minming Li, C. Xue (2010)
Task Assignment with Cache Partitioning and Locking for WCET Minimization on MPSoC2010 39th International Conference on Parallel Processing
J. Whitham, N. Audsley (2009)
Implementing time-predictable load and store operations
WCET-Aware Function-Level Dynamic Code Management on Scratchpad Memory YOOSEONG KIM, Arizona State University DAVID BROMAN, KTH Royal Institute of Technology AVIRAL SHRIVASTAVA, Arizona State University Scratchpad memory (SPM) is a promising on-chip memory choice in real-time and cyber-physical systems where timing is of the utmost importance. SPM has time-predictable characteristics since its data movement between the SPM and the main memory is entirely managed by software. One way of such management is dynamic management. In dynamic management of instruction SPMs, code blocks are dynamically copied from the main memory to the SPM at runtime by executing direct memory access (DMA) instructions. Code management techniques try to minimize the overhead of DMA operations by finding an allocation scheme that leads to efficient utilization. In this article, we present three function-level code management techniques. These techniques perform allocation at the granularity of functions, with the objective of minimizing the impact of DMA overhead to the worst-case execution time (WCET) of a given program. The first technique finds an optimal mapping of each function to a region using integer linear programming (ILP), whereas the second technique is a polynomial-time heuristic that is suboptimal. The third technique maps functions directly to SPM
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: May 11, 2017
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.