Access the full text.
Sign up today, get DeepDyve free for 14 days.
Ahmed Amin, Zeshan Chishti (2010)
Rank-aware cache replacement and write buffering to improve DRAM energy efficiency2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED)
S. Khan, C. Wilkerson, Donghyuk Lee, Alaa Alameldeen, O. Mutlu (2017)
A Case for Memory Content-Based Detection and Mitigation of Data-Dependent Failures in DRAMIEEE Computer Architecture Letters, 16
V. Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie Kim, M. Kozuch, O. Mutlu, Phillip Gibbons, T. Mowry (2016)
Buddy-RAM: Improving the Performance and Efficiency of Bulk Bitwise Operations Using DRAMArXiv, abs/1611.09988
Yixin Luo, Sriram Govindan, Bikash Sharma, Mark Santaniello, Justin Meza, A. Kansal, Jie Liu, Badriddine Khessib, Kushagra Vaid, O. Mutlu (2014)
Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks
(2015)
DRAM Voltage Study
D. Yoon, Minseong Jeong, M. Erez (2011)
Adaptive granularity memory systems: A tradeoff between storage efficiency and throughput2011 38th Annual International Symposium on Computer Architecture (ISCA)
Kinam Kim, Jooyoung Lee (2009)
A New Investigation of Data Retention Time in Truly Nanoscaled DRAMsIEEE Electron Device Letters, 30
Ravi Venkatesan, Stephen Herr, E. Rotenberg (2006)
Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAMThe Twelfth International Symposium on High-Performance Computer Architecture, 2006.
Donghyuk Lee (2016)
Reducing DRAM Latency at Low Cost by Exploiting HeterogeneityArXiv, abs/1604.08041
Ali Shafiee, Meysam Taassori, R. Balasubramonian, A. Davis (2014)
MemZip: Exploring unconventional benefits from memory compression2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA)
scikit-learn
F. Ware, Craig Hampel (2006)
Improving Power and Data Efficiency with Threaded Memory Modules2006 International Conference on Computer Design
Qingyuan Deng, David Meisner, A. Bhattacharjee, T. Wenisch, R. Bianchini (2012)
MultiScale: memory system DVFS with multiple memory controllers
V. Seshadri, Thomas Mullins, Amirali Boroumand, O. Mutlu, Phillip Gibbons, M. Kozuch, T. Mowry (2015)
Gather-Scatter DRAM: In-DRAM address translation to improve the spatial locality of non-unit strided accesses2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Moinuddin Qureshi, Dae-Hyun Kim, S. Khan, Prashant Nair, O. Mutlu (2015)
AVATAR: A Variable-Retention-Time (VRT) Aware Refresh for DRAM Systems2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks
O. Mutlu, T. Moscibroda (2008)
Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems2008 International Symposium on Computer Architecture
AMD Opteron 4300 Series Processors
S. Khan, Donghyuk Lee, Yoongu Kim, Alaa Alameldeen, C. Wilkerson, O. Mutlu (2014)
The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study
Donghyuk Lee, S. Khan, Lavanya Subramanian, Saugata Ghose, Rachata Ausavarungnirun, Gennady Pekhimenko, V. Seshadri, O. Mutlu (2016)
Understanding and Exploiting Design-Induced Latency Variation in Modern DRAM ChipsarXiv: Hardware Architecture
Mingsong Bi, Ran Duan, C. Gniady (2010)
Delay-Hiding energy management mechanisms for DRAMHPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture
K. Chang, Donghyuk Lee, Zeshan Chishti, Alaa Alameldeen, C. Wilkerson, Yoongu Kim, O. Mutlu (2014)
Improving DRAM performance by parallelizing refreshes with accesses2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA)
Bianca Schroeder, Eduardo Pinheiro, W. Weber (2009)
DRAM errors in the wild: a large-scale field study
Standard Performance Evaluation Corp
Deepak Mathew, Éder Zulian, Subash Kannoth, Matthias Jung, C. Weis, N. Wehn (2017)
A Bank-Wise DRAM Power Model for System SimulationsProceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools
Yoongu Kim, V. Seshadri, Donghyuk Lee, Jamie Liu, O. Mutlu (2012)
A case for exploiting subarray-level parallelism (SALP) in DRAM2012 39th Annual International Symposium on Computer Architecture (ISCA)
Chung-Hsiang Lin, De-Yu Shen, Yi-Jung Chen, Chia-Lin Yang, Cheng-Yuan Wang (2012)
SECRET: Selective error correction for refresh energy reduction in DRAMs2012 IEEE 30th International Conference on Computer Design (ICCD)
Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji-Hye Lee, Donghyuk Lee, C. Wilkerson, K. Lai, O. Mutlu (2014)
Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA)
Engin Ipek, O. Mutlu, José Martínez, R. Caruana (2008)
Self-Optimizing Memory Controllers: A Reinforcement Learning Approach2008 International Symposium on Computer Architecture
(2007)
Calculating Memory System Power for DDR3
K. Chang (2017)
Understanding and Improving the Latency of DRAM-Based Memory SystemsArXiv, abs/1712.08304
Matthias Jung, C. Rheinländer, C. Weis, N. Wehn (2016)
Reverse Engineering of DRAMs: Row Hammer with CrosshairProceedings of the Second International Symposium on Memory Systems
Benjamin Barras (2013)
SPICE – Simulation Program with Integrated Circuit Emphasis
E. Cooper-Balis, B. Jacob (2010)
Fine-Grained Activation for Power Reduction in DRAMIEEE Micro, 30
O. Mutlu, J. Stark, C. Wilkerson, Y. Patt (2003)
Runahead execution: an alternative to very large instruction windows for out-of-order processorsThe Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings.
Krishna Malladi, Frank Nothaft, Karthika Periyathambi, Benjamin Lee, C. Kozyrakis, M. Horowitz (2012)
Towards energy-proportional datacenter memory with mobile DRAM2012 39th Annual International Symposium on Computer Architecture (ISCA)
R. Baker, Harry Li, D. Boyce (1997)
CMOS Circuit Design, Layout, and Simulation
Lavanya Subramanian, Donghyuk Lee, V. Seshadri, Harsha Rastogi, O. Mutlu (2016)
BLISS: Balancing Performance, Fairness and Complexity in Memory Access SchedulingIEEE Transactions on Parallel and Distributed Systems, 27
Donghyuk Lee, Saugata Ghose, Gennady Pekhimenko, S. Khan, O. Mutlu (2016)
Simultaneous Multi-Layer AccessACM Transactions on Architecture and Code Optimization (TACO), 12
Jishen Zhao, O. Mutlu, Yuan Xie (2014)
FIRM: Fair and High-Performance Memory Control for Persistent Memory Systems2014 47th Annual IEEE/ACM International Symposium on Microarchitecture
Zhang Tao, Ke Chen, Cong Xu, Guangyu Sun, Tao Wang, Yuan Xie (2014)
Half-DRAM: A high-bandwidth and low-power DRAM architecture from the rethinking of fine-grained activation2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA)
S. Khan, Donghyuk Lee, O. Mutlu (2016)
PARBOR: An Efficient System-Level Technique to Detect Data-Dependent Failures in DRAM2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN)
Alaa Alameldeen, I. Wagner, Zeshan Chishti, Wei Wu, C. Wilkerson, Shih-Lien Lu (2011)
Energy-efficient cache design using variable-strength error-correcting codes2011 38th Annual International Symposium on Computer Architecture (ISCA)
Hasan Hassan, Nandita Vijaykumar, S. Khan, Saugata Ghose, K. Chang, Gennady Pekhimenko, Donghyuk Lee, O. Ergin, O. Mutlu (2017)
SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies2017 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Zeshan Chishti, Alaa Alameldeen, C. Wilkerson, Wei Wu, Shih-Lien Lu (2009)
Improving cache lifetime reliability at ultra-low voltages2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
(2006)
USB Interface Adapter EVM
DRAMPower: Open-Source DRAM Power & Energy Estimation Tool
(2015)
2Gb: x4, x8, x16 DDR3L SDRAM
Jamie Liu, Ben Jaiyen, Yoongu Kim, C. Wilkerson, O. Mutlu (2013)
An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanismsProceedings of the 40th Annual International Symposium on Computer Architecture
Hyoseung Kim, Dionisio Niz, Björn Andersson, M. Klein, O. Mutlu, R. Rajkumar (2014)
Bounding memory interference delay in COTS-based multi-core systems2014 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS)
R. Kalla, B. Sinharoy, William Starke, M. Floyd (2010)
POWER7: IBM's next generation server processor2009 IEEE Hot Chips 21 Symposium (HCS)
Prashant Nair, Dae-Hyun Kim, Moinuddin Qureshi (2013)
ArchShield: architectural framework for assisting DRAM scaling by tolerating high error ratesProceedings of the 40th Annual International Symposium on Computer Architecture
D. Yoon, Jichuan Chang, Naveen Muralimanohar, Parthasarathy Ranganathan (2012)
BOOM: Enabling mobile memory based low-power server DIMMs2012 39th Annual International Symposium on Computer Architecture (ISCA)
Brian Cooper, Adam Silberstein, E. Tam, R. Ramakrishnan, R. Sears (2010)
Benchmarking cloud serving systems with YCSB
Chang Lee, V. Narasiman, Eiman Ebrahimi, O. Mutlu, Y. Patt (2010)
DRAM-Aware Last-Level Cache Writeback: Reducing Write-Caused Interference in Memory Systems
(1997)
MLP Yes! ILP No! Memory Level Parallelism, or, Why I No Longer Worry About IPC
K. Chandrasekar, Sven Goossens, C. Weis, Martijn Koedam, B. Akesson, N. Wehn, K. Goossens (2014)
Exploiting expendable process-margins in DRAMs for run-time performance optimization2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
(2009)
Intel® Extreme Memory Profile (Intel® XMP) DDR3 Technology
Niladrish Chatterjee, Manjunath Shevgoor, R. Balasubramonian, A. Davis, Zhen Fang, R. Illikkal, R. Iyer (2012)
Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
(2012)
JESD209-3C: Low Power Double Data Rate 3 SDRAM (LPDDR3)
V. Seshadri (2016)
Simple DRAM and Virtual Memory Abstractions to Enable Highly Efficient Memory SystemsArXiv, abs/1605.06483
Hongzhong Zheng, Jiang Lin, Zhao Zhang, Zhichun Zhu (2008)
Memory Access Scheduling Schemes for Systems with Multi-Core Processors2008 37th International Conference on Parallel Processing
Stijn Eyerman, L. Eeckhout (2008)
System-Level Performance Metrics for Multiprogram WorkloadsIEEE Micro, 28
Chromebook
Justin Meza, Qiang Wu, Sanjeev Kumar, O. Mutlu (2015)
Revisiting Memory Errors in Large-Scale Production Data Centers: Analysis and Modeling of New Trends from the Field2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks
T. Vogelsang (2010)
Understanding the Energy Consumption of Dynamic Random Access Memories2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
O. Mutlu, T. Moscibroda (2007)
Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
Aniruddha Udipi, Naveen Muralimanohar, Niladrish Chatterjee, R. Balasubramonian, A. Davis, N. Jouppi (2010)
Rethinking DRAM design and organization for energy-constrained multi-coresProceedings of the 37th annual international symposium on Computer architecture
K. Chang, Abhijith Kashyap, Hasan Hassan, Saugata Ghose, Kevin Hsieh, Donghyuk Lee, Tianshi Li, Gennady Pekhimenko, S. Khan, O. Mutlu (2016)
Understanding Latency Variation in Modern DRAM Chips: Experimental Characterization, Analysis, and OptimizationProceedings of the 2016 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Science
Donghyuk Lee, Yoongu Kim, V. Seshadri, Jamie Liu, Lavanya Subramanian, O. Mutlu (2013)
Tiered-latency DRAM: A low latency and low cost DRAM architecture2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA)
Saugata Ghose, Hyo-Gun Lee, José Martínez (2013)
Improving memory scheduling via processor-side load criticality informationProceedings of the 40th Annual International Symposium on Computer Architecture
(2006)
SPEC CPU2006 Benchmarks
Xilinx XTP052 – ML605 Schematics (Rev D)
Wei Zhao, Yu Cao (2006)
New generation of predictive technology model for sub-45nm design exploration7th International Symposium on Quality Electronic Design (ISQED'06)
A. Lebeck, Xiaobo Fan, Heng Zeng, C. Ellis (2000)
Power aware page allocation
Yoongu Kim, Dongsu Han, O. Mutlu, Mor Harchol-Balter (2010)
ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllersHPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture
Indrani Paul, Wei Huang, Manish Arora, S. Yalamanchili (2015)
Harmonia: Balancing compute and memory power in high-performance GPUs2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA)
Jamie Liu, Ben Jaiyen, R. Veras, O. Mutlu (2012)
RAIDR: Retention-aware intelligent DRAM refresh2012 39th Annual International Symposium on Computer Architecture (ISCA)
C. Wilkerson, Hongliang Gao, Alaa Alameldeen, Zeshan Chishti, M. Khellah, Shih-Lien Lu (2009)
Trading Off Cache Capacity for Low-Voltage OperationIEEE Micro, 29
Niladrish Chatterjee, Mike O'Connor, Donghyuk Lee, Daniel Johnson, S. Keckler, Minsoo Rhu, W. Dally (2017)
Architecting an Energy-Efficient DRAM System for GPUs2017 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Vilas Sridharan, Nathan Debardeleben, S. Blanchard, Kurt Ferreira, Jon Stearley, J. Shalf, S. Gurumurthi (2015)
Memory Errors in Modern Systems: The Good, The Bad, and The UglyProceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems
Hasan Hassan, Gennady Pekhimenko, Nandita Vijaykumar, V. Seshadri, Donghyuk Lee, O. Ergin, O. Mutlu (2016)
ChargeCache: Reducing DRAM latency by exploiting row access locality2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Ishwar Bhati, Zeshan Chishti, Shih-Lien Lu, B. Jacob (2015)
Flexible auto-refresh: Enabling scalable and energy-efficient DRAM refresh reductions2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA)
T. Ohsawa, K. Kai, K. Murakami (1998)
Optimizing the DRAM refresh count for merged DRAM/logic LSIsProceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379)
Donghyuk Lee, S. Khan, Lavanya Subramanian, Saugata Ghose, Rachata Ausavarungnirun, Gennady Pekhimenko, V. Seshadri, O. Mutlu (2017)
Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction MechanismsProceedings of the 2017 ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems
Yoongu Kim, Michael Papamichael, O. Mutlu, Mor Harchol-Balter (2010)
Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
D. Roberts, N. Kim, T. Mudge (2007)
On-Chip Cache Device Scaling Limits and Effective Fault Repair Techniques in Future Nanoscale Technology10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007)
Yoongu Kim (2018)
Architectural Techniques to Enhance DRAM Scaling
R. Begum, David Werner, Mark Hempstead, Guru Srinivasa, Geoffrey Challen (2015)
Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component DVFS2015 IEEE International Symposium on Workload Characterization
Sheng Li, Jung Ahn, Richard Strong, J. Brockman, D. Tullsen, N. Jouppi (2009)
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Hyoseung Kim, Dionisio Niz, Björn Andersson, M. Klein, O. Mutlu, R. Rajkumar (2016)
Bounding and reducing memory interference in COTS-based multi-core systemsReal-Time Systems, 52
R. Das, Rachata Ausavarungnirun, O. Mutlu, Akhilesh Kumar, M. Azimi (2013)
Application-to-core mapping policies to reduce memory system interference in multi-core systems2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA)
Vilas Sridharan, Dean Liberty (2012)
A study of DRAM failures in the field2012 International Conference for High Performance Computing, Networking, Storage and Analysis
Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, S. Khan, V. Seshadri, K. Chang, O. Mutlu (2015)
Adaptive-latency DRAM: Optimizing DRAM timing for the common-case2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA)
LTspice IV
O. Mutlu (2013)
Memory scaling: A systems architecture perspective2013 5th IEEE International Memory Workshop
A. Snavely, D. Tullsen (2000)
Symbiotic jobscheduling for a simultaneous mutlithreading processor
Qingyuan Deng, David Meisner, Luiz Ramos, T. Wenisch, R. Bianchini (2011)
MemScale: active low-power modes for main memory
(2013)
BKDG for AMD Family 16h Models 00h-0Fh Processors
L. Barroso, U. Hölzle (2009)
The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale MachinesSynthesis Lectures on Computer Architecture
R. Das, O. Mutlu, T. Moscibroda, C. Das (2009)
Application-aware prioritization mechanisms for on-chip networks2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Malcolm Allen-Ware, K. Rajamani, M. Floyd, B. Brock, J. Rubio, F. Rawson, J. Carter (2010)
Architecting for power management: The IBM® POWER7™ approachHPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture
Donghyuk Lee, Gennady Pekhimenko, S. Khan, Saugata Ghose, O. Mutlu (2015)
Simultaneous Multi Layer Access: A High Bandwidth and Low Cost 3D-Stacked Memory InterfaceArXiv, abs/1506.03160
Matthias Jung, Deepak Mathew, Éder Zulian, C. Weis, N. Wehn (2016)
A new bank sensitive DRAMPower model for efficient design space exploration2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)
C. Lyuh, Taewhan Kim (2004)
Memory access scheduling and binding considering energy minimization in multi-bank memory systemsProceedings. 41st Design Automation Conference, 2004.
Yoongu Kim, W. Yang, O. Mutlu (2016)
Ramulator: A Fast and Extensible DRAM SimulatorIEEE Computer Architecture Letters, 15
B. Keeth, R. Baker (2000)
DRAM Circuit Design: A Tutorial
Sai Muralidhara, Lavanya Subramanian, O. Mutlu, M. Kandemir, T. Moscibroda (2011)
Reducing memory interference in multicore systems via application-aware memory channel partitioning2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
(1997)
Controller for a Synchronous DRAM That Maximizes Throughput by Allowing Memory Requests and Commands to Be Issued Out of Order
Prashant Nair, D. Roberts, Moinuddin Qureshi (2014)
Citadel: Efficiently Protecting Stacked Memory from Large Granularity Failures2014 47th Annual IEEE/ACM International Symposium on Microarchitecture
Lavanya Subramanian, Donghyuk Lee, V. Seshadri, Harsha Rastogi, O. Mutlu (2014)
The Blacklisting Memory Scheduler: Achieving high performance and fairness at low cost2014 IEEE 32nd International Conference on Computer Design (ICCD)
Alaa Alameldeen, Zeshan Chishti, C. Wilkerson, Wei Wu, Shih-Lien Lu (2011)
Adaptive Cache Design to Enable Reliable Low-Voltage OperationIEEE Transactions on Computers, 60
Chang Lee, V. Narasiman, O. Mutlu, Y. Patt (2009)
Improving memory Bank-Level Parallelism in the presence of prefetching2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
(2016)
Thalmayr, “Memory: Are Challenges Ahead?
SHIELD Tablet
Manjunath Shevgoor, Jung-Sik Kim, Niladrish Chatterjee, R. Balasubramonian, A. Davis, Aniruddha Udipi (2013)
Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
(2013)
LPDDR4: Evolution for New Mobile World
Qingyuan Deng, David Meisner, A. Bhattacharjee, T. Wenisch, R. Bianchini (2012)
CoScale: Coordinating CPU and Memory System DVFS in Server Systems2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
V. Seshadri, Yoongu Kim, Chris Fallin, Donghyuk Lee, Rachata Ausavarungnirun, Gennady Pekhimenko, Yixin Luo, O. Mutlu, Phillip Gibbons, M. Kozuch, T. Mowry (2013)
RowClone: Fast and energy-efficient in-DRAM bulk data copy and initialization2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Donghyuk Lee, Lavanya Subramanian, Rachata Ausavarungnirun, Jongmoo Choi, O. Mutlu (2015)
Decoupled Direct Memory Access: Isolating CPU and IO Traffic by Leveraging a Dual-Data-Port DRAM2015 International Conference on Parallel Architecture and Compilation (PACT)
Cortex-A9 Processor
P. Antognetti, G. Massobrio, Guiseppe Massobrio (1988)
Semiconductor Device Modeling with Spice
Xiaobo Fan, C. Ellis, A. Lebeck (2001)
Memory controller policies for DRAM power managementISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581)
S. Rixner, W. Dally, U. Kapasi, P. Mattson, John Owens (2000)
Memory access schedulingProceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201)
(2014)
DDR3L SDRAM Unbuffered SODIMMs Based on 4Gb A-die
(2013)
JESD79-3-1A.01: Addendum No.1 to JESD79-3 - 1.35V DDR3L-800, DDR3L-1066, DDR3L-1333, DDR3L-1600, and DDR3L-1866
Yan Li, Helmut Schneider, F. Schnabel, R. Thewes, D. Schmitt-Landsiedel (2011)
DRAM Yield Analysis and Optimization by a Statistical Design ApproachIEEE Transactions on Circuits and Systems I: Regular Papers, 58
R. Das, O. Mutlu, T. Moscibroda, C. Das (2010)
Aérgia: exploiting packet latency slack in on-chip networksProceedings of the 37th annual international symposium on Computer architecture
Hongzhong Zheng, Jiang Lin, Zhao Zhang, E. Gorbatov, Howard David, Zhichun Zhu (2008)
Mini-rank: Adaptive DRAM architecture for improving memory power efficiency2008 41st IEEE/ACM International Symposium on Microarchitecture
Vaibhav Sundriyal, M. Sosonkina (2016)
Joint frequency scaling of processor and DRAMThe Journal of Supercomputing, 72
O. Mutlu, Hyesoon Kim, Y. Patt (2005)
Techniques for efficient processing in runahead execution engines32nd International Symposium on Computer Architecture (ISCA'05)
Howard David, Chris Fallin, E. Gorbatov, U. Hanebutte, O. Mutlu (2011)
Memory power management via dynamic voltage/frequency scaling
Nosayba El-Sayed, Ioan Stefanovici, George Amvrosiadis, Andy Hwang, Bianca Schroeder (2012)
Temperature management in data centers: why some (might) like it hot
O. Mutlu, Hyesoon Kim, Y. Patt (2006)
Efficient Runahead Execution: Power-Efficient Memory Latency ToleranceIEEE Micro, 26
(2012)
JESD79-3F: DDR3 SDRAM Standard
Aditya Agrawal, Amin Ansari, J. Torrellas (2014)
Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA)
Nidhi Aggarwal, J. Cantin, Mikko Lipasti, James Smith (2008)
Power-Efficient DRAM Speculation2008 IEEE 14th International Symposium on High Performance Computer Architecture
C. Wilkerson, Hongliang Gao, Alaa Alameldeen, Zeshan Chishti, M. Khellah, Shih-Lien Lu (2008)
Trading off Cache Capacity for Reliability to Enable Low Voltage Operation2008 International Symposium on Computer Architecture
Bruno Diniz, Dorgival Neto, Wagner Jr, R. Bianchini (2007)
Limiting the power consumption of main memory
O. Mutlu, Lavanya Subramanian (2014)
Research Problems and Opportunities in Memory SystemsSupercomput. Front. Innov., 1
Minesh Patel, Jeremie Kim, O. Mutlu (2017)
The reach profiler (REAPER): Enabling the mitigation of DRAM retention failures via profiling at aggressive conditions2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA)
O. Mutlu, J. Stark, C. Wilkerson, Y. Patt (2003)
Runahead Execution: An Effective Alternative to Large Instruction WindowsIEEE Micro, 23
K. Chang, Prashant Nair, Donghyuk Lee, Saugata Ghose, Moinuddin Qureshi, O. Mutlu (2016)
Low-Cost Inter-Linked Subarrays (LISA): Enabling fast inter-subarray data movement in DRAM2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Hiroyuki Usui, Lavanya Subramanian, K. Chang, O. Mutlu (2016)
DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware AcceleratorsACM Trans. Archit. Code Optim., 12
(2007)
Predictive Technology Model
Understanding Reduced-Voltage Operation in Modern DRAM Devices: Experimental Characterization, Analysis, and Mechanisms KEVIN K. CHANG, A. GRAY YALIKÇI, and SAUGATA GHOSE, Carnegie Mellon University ADITYA AGRAWAL and NILADRISH CHATTERJEE, NVIDIA ABHIJITH KASHYAP, Carnegie Mellon University DONGHYUK LEE and MIKE O'CONNOR , NVIDIA HASAN HASSAN and ONUR MUTLU , ETH Zürich The energy consumption of DRAM is a critical concern in modern computing systems. Improvements in manufacturing process technology have allowed DRAM vendors to lower the DRAM supply voltage conservatively, which reduces some of the DRAM energy consumption. We would like to reduce the DRAM supply voltage more aggressively, to further reduce energy. Aggressive supply voltage reduction requires a thorough understanding of the effect voltage scaling has on DRAM access latency and DRAM reliability. In this paper, we take a comprehensive approach to understanding and exploiting the latency and reliability characteristics of modern DRAM when the supply voltage is lowered below the nominal voltage level specified by DRAM standards. Using an FPGA-based testing platform, we perform an experimental study of 124 real DDR3L (low-voltage) DRAM chips manufactured recently by three major DRAM vendors. We find that reducing the supply voltage below a certain point introduces bit errors in the
Proceedings of the ACM on Measurement and Analysis of Computing Systems – Association for Computing Machinery
Published: Jun 13, 2017
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.