Access the full text.
Sign up today, get DeepDyve free for 14 days.
Te-Hsuan Chen, Armin Alaghi, J. Hayes (2014)
Behavior of stochastic circuits under severe error conditionsit - Information Technology, 56
K. Tiri, I. Verbauwhede (2006)
A digital design flow for secure integrated circuitsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25
Peng Li, D. Lilja (2011)
Using stochastic computing to implement digital image processing algorithms2011 IEEE 29th International Conference on Computer Design (ICCD)
R. Hegde, Naresh Shanbhag (2001)
Soft digital signal processingIEEE Trans. Very Large Scale Integr. Syst., 9
Armin Alaghi, W. Chan, J. Hayes, A. Kahng, Jiajia Li (2015)
Optimizing stochastic circuits for accuracy-energy tradeoffs2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Hosung Chun, Yuanyuan Yang, T. Lehmann (2014)
Safety Ensuring Retinal Prosthesis With Precise Charge Balance and Low Power ConsumptionIEEE Transactions on Biomedical Circuits and Systems, 8
(2013)
Synopsys IC Compiler User Guide
W. Poppelbaum, C. Afuso, J. Esch (1967)
Stochastic computing elements and systems
Kwangsoo Han, Jiajia Li, A. Kahng, S. Nath, Jongpil Lee (2015)
A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
Yoonmyung Lee, S. Bang, Inhee Lee, Yejoong Kim, Gyouho Kim, M. Ghaed, P. Pannuto, P. Dutta, D. Sylvester, D. Blaauw (2013)
A Modular 1 mm$^{3}$ Die-Stacked Sensing Platform With Low Power I$^{2}$C Inter-Die Communication and Multi-Modal Energy HarvestingIEEE Journal of Solid-State Circuits, 48
Ku He, A. Gerstlauer, M. Orshansky (2012)
Low-energy signal processing using circuit-level timing-error acceptance2012 IEEE International Conference on IC Design & Technology
Yoonmyung Lee, Gyouho Kim, S. Bang, Yejoong Kim, Inhee Lee, P. Dutta, D. Sylvester, D. Blaauw (2012)
A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting2012 IEEE International Solid-State Circuits Conference
Ming-Jun Chen, Che-Chun Su, Do-Kyoung Kwon, L. Cormack, A. Bovik (2013)
Full-reference quality assessment of stereopairs accounting for rivalrySignal Process. Image Commun., 28
C. Chu, Martin Wong (1999)
A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizingIEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 18
(2002)
Canon Canon Image Test Set. Retrieved from http
Rakesh Kumar (2012)
Programmable stochastic computing: Embracing errors in architecture and design of processors and applications2012 International Conference on Collaboration Technologies and Systems (CTS)
(2013)
Synopsys Design Compiler User's Manual. Retrieved from http://www.synopsys.com
Armin Alaghi, J. Hayes (2012)
A spectral transform approach to stochastic circuits2012 IEEE 30th International Conference on Computer Design (ICCD)
P. Jeavons, D. Cohen, J. Shawe-Taylor (1994)
Generating binary sequences for stochastic computingIEEE Trans. Inf. Theory, 40
Suyog Gupta, K. Gopalakrishnan (2014)
Position paper submitted to WACAS 2014 1 Revisiting Stochastic Computation : Approximate Estimation of Machine Learning Kernels
Weikang Qian, X. Li, Marc Riedel, K. Bazargan, D. Lilja (2011)
An Architecture for Fault-Tolerant Computation with Stochastic LogicIEEE Transactions on Computers, 60
Zhou Wang, Eero Simoncelli, A. Bovik (2003)
Multi-scale structural similarity for image quality assessment, 2
V. Gaudet, A. Rapley (2003)
Iterative decoding using stochastic computationElectronics Letters, 39
Bert Moons, M. Verhelst (2014)
Energy-Efficiency and Accuracy of Stochastic Computing Circuits in Emerging TechnologiesIEEE Journal on Emerging and Selected Topics in Circuits and Systems, 4
I. Perez-Andrade, Xin Zuo, R. Maunder, B. Al-Hashimi, L. Hanzo (2013)
Analysis of voltage- and clock-scaling-induced timing errors in stochastic LDPC decoders2013 IEEE Wireless Communications and Networking Conference (WCNC)
W. Gross, V. Gaudet, A. Milner (2005)
Stochastic Implementation of LDPC DecodersConference Record of the Thirty-Ninth Asilomar Conference onSignals, Systems and Computers, 2005.
Joao Aguiar, S. Khatri (2015)
Exploring the viability of stochastic computing2015 33rd IEEE International Conference on Computer Design (ICCD)
Massoud Pedram (1994)
POWER ESTIMATION AND OPTIMIZATION AT THE LOGIC LEVELInternational Journal of High Speed Electronics and Systems, 05
M. Najafi, D. Lilja, Marc Riedel, K. Bazargan (2016)
Polysynchronous stochastic circuits2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC)
Zhiheng Wang, N. Saraf, K. Bazargan, A. Scheel (2015)
Randomness meets feedback: Stochastic implementation of logistic map dynamical system2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
Weikang Qian, Marc Riedel, K. Bazargan, D. Lilja (2009)
The synthesis of combinational logic to generate probabilities2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers
Young-Chul Kim, M. Shanblatt (1995)
Architecture and statistical model of a pulse-mode digital multilayer neural networkIEEE transactions on neural networks, 6 5
Dan Ernst, N. Kim, Shidhartha Das, Sanjay Pant, Rajeev Rao, Toan Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge (2003)
Razor: a low-power pipeline based on circuit-level timing speculationProceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36.
A. Morro, V. Canals, A. Oliver, M. Alomar, J. Rosselló (2015)
Ultra-Fast Data-Mining Hardware Architecture Based on Stochastic ComputingPLoS ONE, 10
D. Fick, Gyouho Kim, Allan Wang, D. Blaauw, D. Sylvester (2014)
Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filteringProceedings of the IEEE 2014 Custom Integrated Circuits Conference
V. Chippa, Swagath Venkataramani, K. Roy, A. Raghunathan (2014)
StoRM: A Stochastic Recognition and Mining processor2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)
Montek Singh, S. Nowick (2010)
ACM Journal on Emerging Technologies in Computing SystemsACM Trans. Design Autom. Electr. Syst., 16
(1993)
Kodak Image Test Set
Marc Riedel, Weikang Qian (2011)
Digital yet deliberately random: synthesizing logical computation on stochastic bit streams
W. Burleson, M. Ciesielski, F. Klass, Wentai Liu (1998)
Wave-pipelining: a tutorial and research surveyIEEE Trans. Very Large Scale Integr. Syst., 6
Naresh Shanbhag, R. Abdallah, Rakesh Kumar, Douglas Jones (2010)
Stochastic computationDesign Automation Conference
B. Gaines (1969)
Stochastic Computing Systems
Armin Alaghi, J. Hayes (2013)
Exploiting correlation in stochastic circuit design2013 IEEE 31st International Conference on Computer Design (ICCD)
Armin Alaghi, J. Hayes (2015)
STRAUSS: Spectral Transform Use in Stochastic Circuit SynthesisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34
Armin Alaghi, Cheng Li, J. Hayes (2013)
Stochastic circuits for real-time image-processing applications2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC)
Weikang Qian, Marc Riedel (2008)
The synthesis of robust polynomial arithmetic with stochastic logic2008 45th ACM/IEEE Design Automation Conference
Arindam Sengupta (1999)
Introduction to probabilityStatistics for the Behavioural Sciences
(2013)
Synopsys PrimeTime User’s Manual
Te-Hsuan Chen, J. Hayes (2015)
Equivalence among stochastic logic circuits and its application2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
A. Kahng, Seokhyeong Kang, Rakesh Kumar, J. Sartori (2010)
Slack redistribution for graceful degradation under voltage overscaling2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)
Xin-Ru Lee, Chih-Lung Chen, Hsie-Chia Chang, Chen-Yi Lee (2015)
A 7.92 Gb/s 437.2 mW Stochastic LDPC Decoder Chip for IEEE 802.15.3c ApplicationsIEEE Transactions on Circuits and Systems I: Regular Papers, 62
Armin Alaghi, J. Hayes (2014)
Fast and accurate computation using stochastic circuits2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
N. Saraf, K. Bazargan, D. Lilja, Marc Riedel (2013)
Stochastic functions using sequential logic2013 IEEE 31st International Conference on Computer Design (ICCD)
T. Chan, A. Kahng (2012)
Tunable sensors for process-aware voltage scaling2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
V. Canals, A. Morro, A. Oliver, M. Alomar, J. Rosselló (2016)
A New Stochastic Computing Methodology for Efficient Neural Network ImplementationIEEE Transactions on Neural Networks and Learning Systems, 27
B. Brown, H. Card (2001)
Stochastic Neural Computation I: Computational ElementsIEEE Trans. Computers, 50
(2017)
Article 47, Publication date
Retrieved from http://www-01.ibm.com/software/commerce/optimization/ cplex-optimizer
(2013)
MATLAB and Statistics Toolbox Release
Trading Accuracy for Energy in Stochastic Circuit Design ARMIN ALAGHI, University of Washington WEI-TING J. CHAN, University of California, San Diego JOHN P. HAYES, University of Michigan ANDREW B. KAHNG and JIAJIA LI, University of California, San Diego As we approach the limits of traditional Moore's-Law scaling, alternative computing techniques that consume energy more efficiently become attractive. Stochastic computing (SC), as a re-emerging computing technique, is a low-cost and error-tolerant alternative to conventional binary circuits in several important applications such as image processing and communications. SC allows a natural accuracy-energy tradeoff that has been exploited in the past. This article presents an accuracy-energy tradeoff technique for SC circuits that reduces their energy consumption with virtually no accuracy loss. To this end, we employ voltage or frequency scaling, which normally reduce energy consumption at the cost of timing errors. Then we show that due to their inherent error tolerance, SC circuits operate satisfactorily without significant accuracy loss even with aggressive scaling. This significantly improves their energy efficiency. In contrast, conventional binary circuits quickly fail as the supply voltage decreases. To find the most energy-efficient operating point of an SC circuit, we propose an error estimation method that allows us
ACM Journal on Emerging Technologies in Computing Systems (JETC) – Association for Computing Machinery
Published: May 1, 2017
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.