Access the full text.
Sign up today, get DeepDyve free for 14 days.
S. Mitra, K. Brelsford, P. Sanda (2010)
Cross-layer resilience challenges: Metrics and optimization2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
L. Fausett (1994)
Fundamentals of neural networks: architectures, algorithms, and applications
Andrea Bartolini, M. Cacciari, A. Tilli, L. Benini, M. Gries (2010)
A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores
C. Wallis (2003)
Computation and cognitionJournal of Experimental & Theoretical Artificial Intelligence, 15
Article 22, Publication date: February 2016
V. Reddi, D. Pan, S. Nassif, K. Bowman (2012)
Robust and resilient designs from the bottom-up: Technology, CAD, circuit, and system issues17th Asia and South Pacific Design Automation Conference
Michael Rubenstein, Alejandro Cornejo, R. Nagpal (2014)
Programmable self-assembly in a thousand-robot swarmScience, 345
J. Sun, Rui Zheng, J. Velamala, Yu Cao, Roman Lysecky, Karthik Shankar, Janet Roveda (2013)
A self-tuning design methodology for power-efficient multi-core systemsACM Trans. Design Autom. Electr. Syst., 18
F. Cancare, S. Bhandari, D. Bartolini, M. Carminati, M. Santambrogio (2011)
A bird's eye view of FPGA-based Evolvable Hardware2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS)
C. Isci, Gilberto Contreras, M. Martonosi (2006)
Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06)
M. Endsley (2011)
PROCEEDINGS OF THE HUMAN FACTORS SOCIETY-32nd ANNUAL MEETING1988 DESIGN AND EVALUATION FOR SITUATION AWARENESS ENHANCEMENT
N. Carter, Helia Naeimi, Donald Gardner (2010)
Design techniques for cross-layer resilience2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
Andrea Bartolini, M. Cacciari, A. Tilli, L. Benini (2011)
A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores2011 Design, Automation & Test in Europe
(2013)
In kernel switcher: A solution to support ARM’s new big.LITTLE technology
L. Guang, E. Nigussie, P. Rantala, J. Isoaho, H. Tenhunen (2010)
Hierarchical agent monitoring design approach towards self-aware parallel systems-on-chipACM Trans. Embed. Comput. Syst., 9
U. Neisser (1997)
The Roots of Self‐Knowledge: Perceiving Self, It, and Thou aAnnals of the New York Academy of Sciences, 818
J. Sun, Avinash Kodi, A. Louri, Janet Roveda (2009)
NBTI aware workload balancing in multi-core systems2009 10th International Symposium on Quality Electronic Design
S. Sarma, N. Dutt, N. Venkatasubramanian (2012)
Cross-layer virtual observers for embedded multiprocessor system-on-chip (MPSoC)
Ripal Nathuji, K. Schwan (2007)
VirtualPower: coordinated power management in virtualized enterprise systems
(2013)
CyberPhysical- System-On-Chip (CPSoC): Sensor-Actuator Rich Self-Aware Computational Platform
D. Milojicic, Dongyan Xu, V. Talwar (2012)
Proceedings of the 9th international conference on Autonomic computing
S. Borkar (2006)
3D integration for energy efficient system design2009 Symposium on VLSI Technology
David Brooks, M. Martonosi (2001)
Dynamic thermal management for high-performance microprocessorsProceedings HPCA Seventh International Symposium on High-Performance Computer Architecture
I. Satoh (2013)
A Framework for Data Processing at the Edges of Networks
V. Kleeberger, C. Gimmler-Dumont, C. Weis, A. Herkersdorf, Daniel Mueller-Gritschneder, S. Nassif, Ulf Schlichtmann, N. Wehn (2013)
A Cross-Layer Technology-Based Study of How Memory Errors Impact System ResilienceIEEE Micro, 33
M. Ebner (2004)
Evolvable HardwareKünstliche Intell., 18
S. Sarma, P. Gupta (2014)
Strength of Diversity : Exploiting Cheap Heterogeneous Noisy Sensors for Accurate Full-Chip Thermal Estimation
Sujesha Sudevalayam, Purushottam Kulkarni (2011)
Energy Harvesting Sensor Nodes: Survey and ImplicationsIEEE Communications Surveys & Tutorials, 13
B. Baars, S. Franklin (2009)
CONSCIOUSNESS IS COMPUTATIONAL: THE LIDA MODEL OF GLOBAL WORKSPACE THEORYInternational Journal of Machine Consciousness, 01
H. Hoffmann (2014)
CoAdapt: Predictable Behavior for Accuracy-Aware Applications Running on Power-Aware Systems2014 26th Euromicro Conference on Real-Time Systems
L. Guang, J. Plosila, J. Isoaho, H. Tenhunen (2010)
Hierarchical Agent Monitored Parallel On-Chip System: A Novel Design Paradigm and its Formal SpecificationInt. J. Embed. Real Time Commun. Syst., 1
Guido Vallejos (2010)
Mindware: An introduction to the philosophy of cognitive science
D. Vernon, G. Metta, G. Sandini (2007)
A Survey of Artificial Cognitive Systems: Implications for the Autonomous Development of Mental Capabilities in Computational AgentsIEEE Transactions on Evolutionary Computation, 11
(2013)
big.LITTLE software update
M. Bakhouya, J. Gaber (2014)
Bio-inspired Approaches for Engineering Adaptive Systems
Debanjan Ghosh, R. Sharman, H. Rao, S. Upadhyaya (2007)
Self-healing systems - survey and synthesisDecis. Support Syst., 42
M. Sánchez-Escribano, Ricardo Sanz (2014)
Emotions and the Engineering of Adaptiveness in Complex Systems
S. Mitra, K. Brelsford, Young Kim, Hsiao-Heng Lee, Yanjing Li (2011)
Robust System Design to Overcome CMOS Reliability ChallengesIEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1
H. Hoffmann, Stelios Sidiroglou, Michael Carbin, Sasa Misailovic, A. Agarwal, M. Rinard (2011)
Dynamic knobs for responsive power-aware computing
P. Oreizy, M. Gorlick, R. Taylor, D. Heimhigner, G. Johnson, N. Medvidović, A. Quilici, David Rosenblum, A. Wolf (1999)
An architecture-based approach to self-adaptive softwareIEEE Intell. Syst., 14
E. Dijkstra (1974)
Self-stabilizing systems in spite of distributed controlCommun. ACM, 17
M. Shafique, S. Garg, T. Mitra, S. Parameswaran, J. Henkel (2014)
Dark silicon as a challenge for hardware/software co-design2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Matthew Curtis-Maury, F. Blagojevic, C. Antonopoulos, Dimitrios Nikolopoulos (2008)
Prediction-Based Power-Performance Adaptation of Multithreaded Scientific CodesIEEE Transactions on Parallel and Distributed Systems, 19
A. Zilouchian (2001)
FUNDAMENTALS OF NEURAL NETWORKS
Pietro Mercati, Andrea Bartolini, Francesco Paterna, Tajana Simunic, L. Benini (2013)
Workload and user experience-aware Dynamic Reliability Management in multicore processors2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC)
S. Nassif, Nikil Mehta, Yunhui Cao (2010)
A resilience roadmap: (invited paper)
S. Hengstler, D. Prashanth, S. Fong, H. Aghajan (2007)
MeshEye: A Hybrid-Resolution Smart Camera Mote for Applications in Distributed Intelligent Surveillance2007 6th International Symposium on Information Processing in Sensor Networks
Kirak Hong, D. Lillethun, U. Ramachandran, Beate Ottenwälder, B. Koldehofe (2013)
Mobile fog: a programming model for large-scale applications on the internet of things
Juan Ye, S. Dobson, Susan Mckeever (2012)
Situation identification techniques in pervasive computing: A reviewPervasive Mob. Comput., 8
Peter Lewis, A. Chandra, Shaun Parsons, Edward Robinson, K. Glette, R. Bahsoon, J. Tørresen, X. Yao (2011)
A Survey of Self-Awareness and Its Application in Computing Systems2011 Fifth IEEE Conference on Self-Adaptive and Self-Organizing Systems Workshops
J. Kong, S. Chung, K. Skadron (2012)
Recent thermal management techniques for microprocessorsACM Comput. Surv., 44
Sparsh Mittal (2014)
A survey of techniques for improving energy efficiency in embedded computing systemsInt. J. Comput. Aided Eng. Technol., 6
M. Maggio, H. Hoffmann, M. Santambrogio, A. Agarwal, A. Leva (2011)
Decision making in autonomic computing systems: comparison of approaches and techniques
Qiang Wu, Philo Juang, M. Martonosi, D. Clark (2004)
Formal online methods for voltage/frequency control in multiple clock domain microprocessors
Tuo Li, M. Shafique, Jude Ambrose, Semeen Rehman, J. Henkel, S. Parameswaran (2013)
RASTER: Runtime adaptive spatial/temporal error resiliency for embedded processors2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC)
Tao Chen, Funmilade Faniyi, R. Bahsoon, Peter Lewis, X. Yao, Leandro Minku, Lukas Esterle (2014)
The Handbook of Engineering Self-Aware and Self-Expressive SystemsArXiv, abs/1409.1793
R. Sanz, I. López, Manuel Rodríguez, C. Hernández (2007)
2007 Special Issue: Principles for consciousness in integrated cognitive controlNeural Networks, 20
B. Baars (1988)
A cognitive theory of consciousness
V. Reddi, M. Gupta, G. Holloway, Gu-Yeon Wei, Michael Smith, D. Brooks (2009)
Voltage emergency prediction: Using signatures to reduce operating margins2009 IEEE 15th International Symposium on High Performance Computer Architecture
Michael Shapiro (2004)
Self-Healing in Modern Operating SystemsQueue, 2
Xiaoxia Wu, Jian Li, Lixin Zhang, W. Speight, R. Rajamony, Yuan Xie (2009)
Hybrid cache architecture with disparate memory technologies
K. Rangan, Gu-Yeon Wei, D. Brooks (2009)
Thread motion: fine-grained power management for multi-core systems
(2012)
Fog computing and its role in the internet of things
Harald Psaier, S. Dustdar (2010)
A survey on self-healing systems: approaches and systemsComputing, 91
Lei Fang, P. Antsaklis, L. Montestruque, M. McMickell, M. Lemmon, Yashan Sun, Hui Fang, Ioannis Koutroulis, M. Haenggi, Min Xie, Xiaojuan Xie (2005)
Design of a wireless assisted pedestrian dead reckoning system - the NavMote experienceIEEE Transactions on Instrumentation and Measurement, 54
A. Morin (2006)
Levels of consciousness and self-awareness: A comparison and integration of various neurocognitive viewsConsciousness and Cognition, 15
J. Preden, J. Llinas, G. Rogova, R. Pahtma, L. Motus (2013)
On-line data validation in distributed data fusion, 8742
Peter Lewis, A. Chandra, Funmilade Faniyi, K. Glette, Tao Chen, R. Bahsoon, J. Tørresen, X. Yao (2015)
Architectural Aspects of Self-Aware and Self-Expressive Computing Systems: From Psychology to EngineeringComputer, 48
S. Borkar, Andrew Chien (2011)
The future of microprocessorsCommunications of the ACM, 54
L. Benini, A. Bogliolo, G. Micheli (2000)
A survey of design techniques for system-level dynamic power managementIEEE Trans. Very Large Scale Integr. Syst., 8
J. Kephart, D. Chess (2003)
The Vision of Autonomic ComputingComputer, 36
H. Hoffmann, M. Maggio, M. Santambrogio, A. Leva, A. Agarwal (2010)
SEEC: A Framework for Self-aware Computing
H. Kaindl, Mathieu Vallée, Edin Arnautovic (2013)
Self-Representation for Self-Configuration and Monitoring in Agent-Based Flexible Automation SystemsIEEE Transactions on Systems, Man, and Cybernetics: Systems, 43
B. Jennings, R. Stadler (2015)
Resource Management in Clouds: Survey and Research ChallengesJournal of Network and Systems Management, 23
Arun Raghavan, Yixin Luo, Anuj Chandawalla, M. Papaefthymiou, K. Pipe, T. Wenisch, Milo Martin (2012)
Computational sprintingIEEE International Symposium on High-Performance Comp Architecture
Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, D. Blaauw (2009)
RazorII: In Situ Error Detection and Correction for PVT and SER ToleranceIEEE Journal of Solid-State Circuits, 44
C. Lefurgy, A. Drake, M. Floyd, Malcolm Allen-Ware, B. Brock, J. Tierno, J. Carter, R. Berry (2013)
Active Guardband Management in Power7+ to Save Energy and Maintain ReliabilityIEEE Micro, 33
(2013)
2013. big.LITTLE software update. Retrieved from http://www.linaro.org/blog/hardware-update/biglittle-software-update
Qiang Wu, M. Martonosi, D. Clark, V. Reddi, D. Connors, Youfeng Wu, Jin Lee, D. Brooks (2005)
A dynamic compilation framework for controlling microprocessor energy and performance38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05)
X. Yao, T. Higuchi (1996)
Promises and challenges of evolvable hardware
E. Karl, D. Blaauw, D. Sylvester, T. Mudge (2006)
Reliability modeling and management in dynamic microprocessor-based systems2006 43rd ACM/IEEE Design Automation Conference
Rakesh Kumar, K. Farkas, N. Jouppi, Parthasarathy Ranganathan, D. Tullsen (2003)
Single-ISA heterogeneous multi-core architectures: the potential for processor power reductionProceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36.
Georgios Kornaros, D. Pnevmatikatos (2013)
A survey and taxonomy of on-chip monitoring of multicore systems-on-chipACM Trans. Design Autom. Electr. Syst., 18
(2018)
Decentralized ControlThe Control Systems Handbook
V. Reddi, Svilen Kanev, Wonyoung Kim, Simone Campanoni, Michael Smith, Gu-Yeon Wei, D. Brooks (2010)
Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Betty Cheng, R. Lemos, H. Giese, Paola Inverardi, Jeff Magee (2009)
Software Engineering for Self-Adaptive Systems [outcome of a Dagstuhl Seminar], 5525
Funmilade Faniyi, Peter Lewis, R. Bahsoon, X. Yao (2014)
Architecting Self-Aware Software Systems2014 IEEE/IFIP Conference on Software Architecture
L. Guang, G. Plosila, J. Isoaho, H. Tenhunen (2011)
HAMSoC: A Monitoring-Centric Design Approach for Adaptive
M. Salehie, L. Tahvildari (2009)
Self-adaptive software: Landscape and research challengesACM Trans. Auton. Adapt. Syst., 4
M. Shafique, J. Henkel (2013)
Agent-based distributed power management for kilo-core processors
M. Floyd, S. Ghiasi, T. Keller, K. Rajamani, F. Rawson, J. Rubio, Malcolm Allen-Ware (2007)
System power management support in the IBM POWER6 microprocessorIBM J. Res. Dev., 51
Bernard Baars (2002)
The conscious access hypothesis: origins and recent evidenceTrends in Cognitive Sciences, 6
S. Sarma, N. Dutt (2014)
FPGA emulation and prototyping of a cyberphysical-system-on-chip (CPSoC)2014 25nd IEEE International Symposium on Rapid System Prototyping
S. Sarma, N. Dutt, Puneet Gupta, N. Venkatasubramanian, A. Nicolau (2015)
CyberPhysical-System-On-Chip (CPSoC): A self-aware MPSoC paradigm with cross-layer virtual sensing and actuation2015 Design, Automation & Test in Europe Conference & Exhibition (DATE)
Gaurav Dhiman, R. Ayoub, Tajana Simunic (2009)
PDRAM: A hybrid PRAM and DRAM main memory system2009 46th ACM/IEEE Design Automation Conference
S. Nassif, Nikil Mehta, Yu Cao (2010)
A resilience roadmap2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
Syed Jafri, L. Guang, A. Jantsch, K. Paul, A. Hemani, H. Tenhunen (2018)
Self-adaptive Noc Power Management with Dual-level Agents - Architecture and Implementation
Xiaorui Wang, Yefu Wang (2011)
Coordinating Power Control and Performance Management for Virtualized Server ClustersIEEE Transactions on Parallel and Distributed Systems, 22
T. Ebi, M. Faruque, J. Henkel (2009)
TAPE: Thermal-aware agent-based power econom multi/many-core architectures2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers
A. Jantsch, Kalle Tammemäe (2014)
A framework of awareness for artificial subjects2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
J. Henkel, L. Bauer, J. Becker, O. Bringmann, U. Brinkschulte, S. Chakraborty, M. Engel, R. Ernst, Hermann Härtig, L. Hedrich, A. Herkersdorf, R. Kapitza, D. Lohmann, P. Marwedel, M. Platzner, W. Rosenstiel, Ulf Schlichtmann, O. Spinczyk, M. Tahoori, J. Teich, N. Wehn, H. Wunderlich (2011)
Design and architectures for dependable embedded systems2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
H. Esmaeilzadeh, Emily Blem, Renée Amant, K. Sankaralingam, D. Burger (2012)
Dark Silicon and the End of Multicore ScalingIEEE Micro, 32
L. Motus, M. Meriste, J. Preden (2009)
Towards middleware based situation awarenessMILCOM 2009 - 2009 IEEE Military Communications Conference
(2012)
Enhancing Situation-Awareness, Cognition and Reasoning of Ad-Hoc Network Agents
S. Borkar (2013)
Achieving energy efficiency by HW/SW co-design2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S)
B. Cheng, R. Lemos, H. Giese, P. Inverardi, J. Magee, J. Andersson, Basil Becker, N. Bencomo, Yuriy Brun, B. Cukic, G. Serugendo, S. Dustdar, A. Finkelstein, C. Gacek, K. Geihs, V. Grassi, G. Karsai, H. Kienle, J. Kramer, Marin Litoiu, S. Malek, R. Mirandola, H. Müller, S. Park, M. Shaw, M. Tichy, Massimo Tivoli, Danny Weyns, J. Whittle (2009)
Software Engineering for Self-Adaptive Systems: A Research Roadmap
J. Preden (2014)
Generating situation awareness in cyber-physical systems: Creation and exchange of situational information2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Satish Nagarajaiah (2000)
System IdentificationControl Theory for Physicists
L. Barroso, U. Hölzle (2009)
The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale MachinesSynthesis Lectures on Computer Architecture
R. Laddaga (2000)
Active Software
M. Ferdman, Almutaz Adileh, Yusuf Koçberber, Stavros Volos, M. Alisafaee, Djordje Jevdjic, Cansu Kaynak, Adrian Popescu, A. Ailamaki, B. Falsafi (2012)
Clearing the clouds: a study of emerging scale-out workloads on modern hardware
T. Ebi, M. Faruque, J. Henkel (2009)
TAPE: thermal-aware agent-based power economy for multi/many-core architectures
S. Haykin (2010)
Neural Networks and Learning Machines
M. Bakhouya (2011)
A Bio-Inspired Architecture for Autonomic Network-on-ChipAutonomic Networking-on-Chip
A. Coskun, Tajana Simunic, K. Gross (2008)
Temperature management in multiprocessor SoCs using online learning2008 45th ACM/IEEE Design Automation Conference
Pietro Mercati, Francesco Paterna, Andrea Bartolini, L. Benini, Tajana Simunic (2014)
Dynamic variability management in mobile multicore processors under lifetime constraints2014 IEEE 32nd International Conference on Computer Design (ICCD)
Michael Rubenstein, C. Ahler, R. Nagpal (2012)
Kilobot: A low cost scalable robot system for collective behaviors2012 IEEE International Conference on Robotics and Automation
S. Sarma, N. Dutt, Puneet Gupta, A. Nicolau, N. Venkatasubramanian (2014)
On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC)2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Amith Singhee, Rob Rutenbar (2010)
Extreme Statistics in Nanoscale Memory Design
ARM Inc. 2013. big.LITTLE technology: The future of mobile
Hyungmin Cho, L. Leem, S. Mitra (2010)
ERSA: Error Resilient System Architecture for probabilistic applications2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
L. Barroso, Urs Hölzle (2007)
The Case for Energy-Proportional ComputingComputer, 40
E. Hoffman, Peter Martin, T. Putz, A. Trzmiel, K. Zeghal (2007)
Airborne Spacing: Flight Deck View of Compatibility with Continuous Descent Approach (CDA)
I. Stoianov, L. Nachman, S. Madden, Timur Tokmouline (2007)
PIPENET: A Wireless Sensor Network for Pipeline Monitoring2007 6th International Symposium on Information Processing in Sensor Networks
Akshat Verma, Puneet Ahuja, A. Neogi (2008)
pMapper: Power and Migration Cost Aware Application Placement in Virtualized Systems
S. Sarma, N. Dutt (2014)
Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De (2003)
Parameter variations and impact on circuits and microarchitectureProceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)
H. Hoffmann, M. Maggio, M. Santambrogio, A. Leva, A. Agarwal (2013)
A generalized software framework for accurate and efficient management of performance goals2013 Proceedings of the International Conference on Embedded Software (EMSOFT)
R. Sridharan, Nikhil Gupta, R. Mahapatra (2008)
Feedback-controlled reliability-aware power management for real-time embedded systems2008 45th ACM/IEEE Design Automation Conference
M. Shafique, Benjamin Vogel, J. Henkel (2013)
Self-adaptive hybrid Dynamic Power Management for many-core systems2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
H. Quinn, Andrew Hon, N. Carter (2011)
CCC visioning study: system-level cross-layer cooperation to achieve predictable systems from unpredictable components
H. Hoffmann, J. Eastep, M. Santambrogio, Jason Miller, A. Agarwal (2010)
Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments
S. Sarma, T. Mück, L. Bathen, N. Dutt, A. Nicolau (2015)
SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
Efraim Rotem, Alon Naveh, Avinash Ananthakrishnan, Eli Weissmann, Doron Rajwan (2012)
Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy BridgeIEEE Micro, 32
Tallinn, Microsoft Redmond (2006)
Auto-adaptation Driven by Observed Context Histories
M. Santambrogio, H. Hoffmann, J. Eastep, A. Agarwal (2010)
Enabling technologies for self-aware adaptive systems2010 NASA/ESA Conference on Adaptive Hardware and Systems
Hatem Zakaria, E. Yahya, L. Fesquet (2018)
Self-Adaption in SoCsAutonomic Networking-on-Chip
Yefu Wang, Kai Ma, Xiaorui Wang (2009)
Temperature-constrained power control for chip multiprocessors with online model estimation
R. Baumann (2005)
Radiation-induced soft errors in advanced semiconductor technologiesIEEE Transactions on Device and Materials Reliability, 5
J. Polastre, R. Szewczyk, D. Culler (2005)
Telos: enabling ultra-low power wireless researchIPSN 2005. Fourth International Symposium on Information Processing in Sensor Networks, 2005.
V. Shnayder, Bor-rong Chen, K. Lorincz, T. Jones, M. Welsh (2005)
Sensor networks for medical care
L. Guang, J. Plosila, J. Isoaho, H. Tenhunen (2018)
HAMSoC: A Monitoring-Centric Design Approach for Adaptive Parallel ComputingAutonomic Networking-on-Chip
(2005)
Design of human interactions with smart machines: Lessons learned from aircraft accidents
Phan Cong-vinh (2011)
Autonomic Networking-on-Chip
Semeen Rehman, F. Kriebel, Duo Sun, M. Shafique, J. Henkel (2014)
dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC)
D. Sylvester, D. Blaauw, E. Karl (2006)
ElastIC: An Adaptive Self-Healing Architecture for Unpredictable SiliconIEEE Design & Test of Computers, 23
S. Shukla, K. Vatanparvar, M. Faruque, W. Pan, T. Xie, H. Omar, Q. Shi, M. Ahmad, H. Dogan, O. Khan, G. Wang, C. Zang (2018)
ACM Transactions on Embedded Computing Systems continued on back cover
Pietro Mercati, Andrea Bartolini, Francesco Paterna, Tajana Simunic, L. Benini (2014)
A Linux-governor based Dynamic Reliability Manager for android mobile devices2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
(2009)
Yuriy Brun, Bojan Cukic, and others
Edward Lee (2008)
Cyber Physical Systems: Design Challenges2008 11th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC)
T. Austin, V. Bertacco, S. Mahlke, Yu Cao (2008)
Reliable Systems on Unreliable FabricsIEEE Design & Test of Computers, 25
D. Lewkowicz, R. Lickliter (2007)
A Dynamic Systems Approach to the Development of Cognition and ActionJournal of Cognitive Neuroscience, 7
J. Henkel, A. Herkersdorf, L. Bauer, Thomas Wild, M. Hübner, Ravi Pujari, Artjom Grudnitsky, Jan Heisswolf, A. Zaib, Benjamin Vogel, Vahid Lari, S. Kobbe (2012)
Invasive manycore architectures17th Asia and South Pacific Design Automation Conference
J. Bernstein, M. Gurfinkel, Xiaojun Li, Jörg Walters, Y. Shapira, M. Talmor (2006)
Electronic circuit reliability modelingMicroelectron. Reliab., 46
Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective NIKIL DUTT, University of California Irvine AXEL JANTSCH, TU Wien, Austria SANTANU SARMA, University of California Irvine Embedded systems must address a multitude of potentially conflicting design constraints such as resiliency, energy, heat, cost, performance, security, etc., all in the face of highly dynamic operational behaviors and environmental conditions. By incorporating elements of intelligence, the hope is that the resulting "smart" embedded systems will function correctly and within desired constraints in spite of highly dynamic changes in the applications and the environment, as well as in the underlying software/hardware platforms. Since terms related to "smartness" (e.g., self-awareness, self-adaptivity, and autonomy) have been used loosely in many software and hardware computing contexts, we first present a taxonomy of "self-x" terms and use this taxonomy to relate major "smart" software and hardware computing efforts. A major attribute for smart embedded systems is the notion of self-awareness that enables an embedded system to monitor its own state and behavior, as well as the external environment, so as to adapt intelligently. Toward this end, we use a System-on-Chip perspective to show how the CyberPhysical System-on-Chip (CPSoC) exemplar platform achieves self-awareness through a combination
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: Feb 17, 2016
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.