Access the full text.
Sign up today, get DeepDyve free for 14 days.
Sameer Shivle, H. Siegel, A. Maciejewski, Prasanna Sugavanam, Tarun Banka, R. Castain, Kiran Chindam, S. Dussinger, Prakash Pichumani, Praveen Satyasekaran (2006)
Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environmentJ. Parallel Distributed Comput., 66
Meikang Qiu, L. Yang, E. Sha (2009)
Rotation Scheduling and Voltage Assignment to Minimize Energy for SoC2009 International Conference on Computational Science and Engineering, 2
F. Yao, A. Demers, S. Shenker (1995)
A scheduling model for reduced CPU energyProceedings of IEEE 36th Annual Foundations of Computer Science
P. Chaparro, José González, Qiong Cai, G. Chrysler (2009)
Dynamic thermal management using thin-film thermoelectric cooling
(2009)
Failure mechanisms and models for semiconductor devices
Daniel Moss, Hakan Aydin, B. Childers, R. Melhem (2000)
Compiler-assisted dynamic power-aware scheduling for real-time applications
Sameer Shivle, R. Castain, H. Siegel, A. Maciejewski, Tarun Banka, Kiran Chindam, S. Dussinger, Prakash Pichumani, Praveen Satyasekaran, W. Saylor, David Sendek, J. Sousa, J. Sridharan, Prasanna Sugavanam, J. Velazco (2004)
Static mapping of subtasks in a heterogeneous ad hoc grid environment18th International Parallel and Distributed Processing Symposium, 2004. Proceedings.
Shaobo Liu, Jingyi Zhang, Qing Wu, Qinru Qiu (2010)
Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor2010 11th International Symposium on Quality Electronic Design (ISQED)
K. Sankaranarayanan, S. Velusamy, M. Stan, K. Skadron (2005)
A Case for Thermal-Aware Floorplanning at the Microarchitectural LevelJ. Instr. Level Parallelism, 7
M. Weiser, B. Welch, A. Demers, S. Shenker (1994)
Scheduling for reduced CPU energy
Meikang Qiu, E. Sha (2009)
Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systemsACM Trans. Design Autom. Electr. Syst., 14
Yuan Tian, E. Ekici (2007)
Cross-Layer Collaborative In-Network Processing in Multihop Wireless Sensor NetworksIEEE Transactions on Mobile Computing, 6
Shaobo Liu, Meikang Qiu (2010)
Thermal-Aware Scheduling for Peak Temperature Reduction with Stochastic Workloads
K. Skadron, M. Stan, K. Sankaranarayanan, Wei Huang, S. Velusamy, D. Tarjan (2004)
Temperature-aware microarchitecture: Modeling and implementationACM Trans. Archit. Code Optim., 1
A. Coskun, J. Ayala, David Alonso, T. Simunic, Y. Leblebici (2009)
Dynamic thermal management in 3D multicore architectures2009 Design, Automation & Test in Europe Conference & Exhibition
Yuan Tian, E. Ekici, F. Özgüner (2005)
Energy-constrained task mapping and scheduling in wireless sensor networksIEEE International Conference on Mobile Adhoc and Sensor Systems Conference, 2005.
R. Ayoub, T. Simunic (2009)
Predict and act: dynamic thermal management for multi-core processors
B. Black, M. Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, G. Loh, Don McCaule, P. Morrow, Donald Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, John Shen, C. Webb (2006)
Die Stacking (3D) Microarchitecture2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06)
O. Ibarra, Chul Kim (1977)
Heuristic Algorithms for Scheduling Independent Tasks on Nonidentical ProcessorsJ. ACM, 24
Meikang Qiu, L. Yang, Z. Shao, E. Sha (2010)
Dynamic and Leakage Energy Minimization With Soft Real-Time Loop Scheduling and Voltage AssignmentIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18
Vidyasagar Nookala, D. Lilja, S. Sapatnekar (2006)
Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient AnalysisISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design
F. Mulas, M. Pittau, M. Buttu, S. Carta, A. Acquaviva, L. Benini, David Alonso, G. Micheli (2008)
Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures2008 Design, Automation and Test in Europe
Kiran Puttaswamy, G. Loh (2007)
Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors2007 IEEE 13th International Symposium on High Performance Computer Architecture
Dongkun Shin, Jihong Kim, Seongsoo Lee (2001)
Intra-Task Voltage Scheduling for Low-Energy, Hard Real-Time ApplicationsIEEE Des. Test Comput., 18
N. Allec, Zyad Hassan, L. Shang, R. Dick, Ronggui Yang (2008)
ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits2008 IEEE/ACM International Conference on Computer-Aided Design
M. Pathak, S. Lim (2007)
Thermal-aware steiner routing for 3D stacked ICs2007 IEEE/ACM International Conference on Computer-Aided Design
Chung-Hsiang Lin, Chia-Lin Yang, K. King (2009)
PPT: joint performance/power/thermal management of DRAM memory for multi-core systems
Changyun Zhu, Zhenyu Gu, L. Shang, R. Dick, R. Joseph (2008)
Three-Dimensional Chip-Multiprocessor Run-Time Thermal ManagementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27
Anna Topol, D. Tulipe, Leathen Shi, D. Frank, K. Bernstein, S. Steen, Arvind Kumar, G. Singco, A. Young, K. Guarini, M. Ieong (2006)
Three-dimensional integrated circuitsIBM J. Res. Dev., 50
Meikang Qiu, Zhiping Jia, C. Xue, Z. Shao, E. Sha (2007)
Voltage Assignment with Guaranteed Probability Satisfying Timing Constraint for Real-time Multiproceesor DSPThe Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, 46
Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, R. Dick, L. Shang, H. Zhou, Xianlong Hong, Qiang Zhou (2007)
3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits2007 IEEE/ACM International Conference on Computer-Aided Design
A. Coskun, T. Simunic, K. Gross (2008)
Proactive temperature balancing for low cost thermal management in MPSoCs2008 IEEE/ACM International Conference on Computer-Aided Design
D. Brooks, V. Tiwari, M. Martonosi (2000)
Wattch: a framework for architectural-level power analysis and optimizationsProceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201)
Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, Jianhua Zhao (2010)
Thermal-Aware Task Scheduling for 3D Multicore ProcessorsIEEE Transactions on Parallel and Distributed Systems, 21
R. Kessler (1999)
The Alpha 21264 microprocessorIEEE Micro, 19
L. Chao, A. LaPaugh, E. Sha (1993)
Rotation Scheduling: A Loop Pipelining Algorithm30th ACM/IEEE Design Automation Conference
Thermal-Aware Task Scheduling in 3D Chip Multiprocessor with Real-Time Constrained Workloads JIAYIN LI and MEIKANG QIU, Huazhong University of Science and Technology and University of Kentucky JIAN-WEI NIU, Beihang University LAURENCE T. YANG, Huazhong University of Science and Technology and St. Francis Xavier University YONGXIN ZHU, Shanghai Jiaotong University ZHONG MING, Shenzhen University Chip multiprocessor (CMP) techniques have been implemented in embedded systems due to tremendous computation requirements. Three-dimension (3D) CMP architecture has been studied recently for integrating more functionalities and providing higher performance. The high temperature on chip is a critical issue for the 3D architecture. In this article, we propose an online thermal prediction model for 3D chips. Using this model, we propose novel task scheduling algorithms based on rotation scheduling to reduce the peak temperature on chip. We consider data dependencies, especially inter-iteration dependencies that are not well considered in most of the current thermal-aware task scheduling algorithms. Our simulation results show that our algorithms can efficiently reduce the peak temperature up to 8.1 C. Categories and Subject Descriptors: C.3 [Special-Purpose and Application-Based Systems]: real-time and embedded systems General Terms: Algorithms, Design, Performance Additional Key Words and Phrases: Thermal, peak temperature, task scheduling, real-time constraint
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: Feb 1, 2013
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.