Access the full text.
Sign up today, get DeepDyve free for 14 days.
Xiaoxia Wu, Jian Li, Lixin Zhang, W. Speight, Yuan Xie (2009)
Power and performance of read-write aware Hybrid Caches with non-volatile memories2009 Design, Automation & Test in Europe Conference & Exhibition
N. Binkert, R. Dreslinski, Lisa Hsu, Kevin Lim, A. Saidi, S. Reinhardt (2006)
The M5 Simulator: Modeling Networked SystemsIEEE Micro, 26
J. Hu, C. Xue, Qingfeng Zhuge, Wei-Che Tseng, E. Sha (2013)
Write activity reduction on non-volatile main memories for embedded chip multiprocessorsACM Trans. Embed. Comput. Syst., 12
Moinuddin Qureshi, V. Srinivasan, J. Rivers (2009)
Scalable high performance main memory system using phase-change memory technology
J. Sjödin, C. Platen (2001)
Storage allocation for embedded processors
Article 79, Publication date: February 2014. Management for Memory-Based Hybrid Scratchpad Memory on Multicore Processors
J. Hu, Wei-Che Tseng, C. Xue, Qingfeng Zhuge, Yingchao Zhao, E. Sha (2011)
Write Activity Minimization for Nonvolatile Main Memory Via Scheduling and RecomputationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30
Gaurav Dhiman, R. Ayoub, T. Simunic (2009)
PDRAM: A hybrid PRAM and DRAM main memory system2009 46th ACM/IEEE Design Automation Conference
N. Muralimanohar, R. Balasubramonian, N. P. Jouppi (2009)
Cacti 6Tech. Rep. HPL-2009-85
J. Hu, C. Xue, Qingfeng Zhuge, Wei-Che Tseng, E. Sha (2013)
Data Allocation Optimization for Hybrid Scratch Pad Memory With SRAM and Nonvolatile MemoryIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21
Qing'an Li, Yingchao Zhao, J. Hu, C. Xue, E. Sha, Yanxiang He (2012)
MGC: Multiple graph-coloring for non-volatile memory based hybrid Scratchpad Memory2012 16th Workshop on Interaction between Compilers and Computer Architectures (INTERACT)
W. Che, Amrit Panda, Karam Chatha (2010)
Compilation of stream programs for multicore processors that incorporate scratchpad memories2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
M. Kandemir, M. Irwin, Guilin Chen, I. Kolcu (2004)
Banked scratch-pad memory management for reducing leakage energy consumptionIEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004.
Oren Avissar, R. Barua, D. Stewart (2002)
An optimal memory allocation scheme for scratch-pad-based embedded systemsACM Trans. Embed. Comput. Syst., 1
J. Hu, Qingfeng Zhuge, C. Xue, Wei-Che Tseng, E. Sha (2012)
Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid SPM on Embedded CMPs2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum
Jiayi Du, Yan Wang, Qingfeng Zhuge, J. Hu, E. Sha (2013)
Efficient Loop Scheduling for Chip Multiprocessors with Non-Volatile Main MemoryJournal of Signal Processing Systems, 71
Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang (2009)
A durable and energy efficient main memory using phase change memory technology
Yan Wang, Jiayi Du, J. Hu, Qingfeng Zhuge, E. Sha (2012)
Loop scheduling optimization for chip-multiprocessors with non-volatile main memory2012 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)
A. Dominguez, Sumesh Udayakumaran, R. Barua (2005)
Heap data allocation to scratch-pad memory in embedded systemsJ. Embed. Comput., 1
Xiangyu Dong, Xiaoxia Wu, Guangyu Sun, Yuan Xie, Hai Li, Yiran Chen (2008)
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement2008 45th ACM/IEEE Design Automation Conference
Yiran Chen, Hai Li, Xiaobin Wang, Wenzhong Zhu, W. Xu, Tong Zhang (2010)
A nondestructive self-reference scheme for Spin-Transfer Torque Random Access Memory (STT-RAM)2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
J. Hu, C. Xue, Qingfeng Zhuge, Wei-Che Tseng, E. Sha (2011)
Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory2011 Design, Automation & Test in Europe
J. Hu, C. J. Xue, Q. Zhuge, W.-C. Tseng, E. H.-M. Sha (2011)
Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memoryProceedings of the Conference and Exhibition on Design
M. Kandemir, J. Ramanujam, A. Choudhary (2002)
Exploiting shared scratch pad memory space in embedded multiprocessor systemsProceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)
W. Che, A. Panda, K. S. Chatha (2010)
Compilation of stream programs for multicore processors that incorporate scratchpad memoriesProceedings of the Conference and Exhibition on Design
R. Banakar, S. Steinke, Bo-Sik Lee, M. Balakrishnan, P. Marwedel (2002)
Scratchpad memory: a design alternative for cache on-chip memory in embedded systemsProceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627)
Naveen Muralimanohar, R. Balasubramonian, N. Jouppi (2009)
CACTI 6.0: A Tool to Model Large Caches
A. Ferreira, Miao Zhou, S. Bock, B. Childers, R. Melhem, D. Mossé (2010)
Increasing PCM main memory lifetime2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
Benjamin Lee, Engin Ipek, O. Mutlu, D. Burger (2009)
Architecting phase change memory as a scalable dram alternative
Sumesh Udayakumaran, A. Dominguez, R. Barua (2006)
Dynamic allocation for scratch-pad memory using compile-time decisionsACM Trans. Embed. Comput. Syst., 5
A. P. Ferreira, M. Zhou, S. Bock, B. Childers, R. Melhem, D. Mossé (2010)
Increasing pcm main memory lifetimeProceedings of the Conference and Exhibition on Design
M. Kandemir, M. Irwin, Guangyu Chen, I. Kolcu (2005)
Compiler-guided leakage optimization for banked scratch-pad memoriesIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13
Yongsoo Joo, Dimin Niu, Xiangyu Dong, Guangyu Sun, N. Chang, Yuan Xie (2010)
Energy- and endurance-aware design of phase change memory caches2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
Y. Joo, D. Niu, X. Dong, G. Sun, N. Chang, Y. Xie (2010)
Energy- and endurance-aware design of phase change memory cachesProceedings of the Conference and Exhibition on Design
J. Hu, C. Xue, Wei-Che Tseng, Yi He, Meikang Qiu, E. Sha (2010)
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputationDesign Automation Conference
P. Panda, N. Dutt, A. Nicolau (1997)
Efficient utilization of scratch-pad memory in embedded processor applicationsProceedings European Design and Test Conference. ED & TC 97
P. Mangalagiri, K. Sarpatwari, A. Yanamandra, N. Vijaykrishnan, Yuan Xie, M. Irwin, Osama Karim (2008)
A low-power phase change memory based hybrid cache architecture
Yibo Guo, Qingfeng Zhuge, J. Hu, Meikang Qiu, E. Sha (2011)
Optimal Data Allocation for Scratch-Pad Memory on Embedded Multi-core Systems2011 International Conference on Parallel Processing
O. Ozturk, M. Kandemir, I. Kolcu (2006)
Shared scratch-pad memory space management7th International Symposium on Quality Electronic Design (ISQED'06)
Bo Froderberg, Thomas Lindgren (1998)
Allocation of Global Data Objects in On-Chip RAM
Ziang Hu, Geoffrey Gerfin, B. Dobry, G. Gao
Programming Experience on Cyclops-64 Multi-Core Chip Architecture
Tiantian Liu, Yingchao Zhao, C. Xue, Minming Li (2011)
Power-Aware Variable Partitioning for DSPs With Hybrid PRAM and DRAM Main MemoryIEEE Transactions on Signal Processing, 61
Liang Shi, C. Xue, J. Hu, Wei-Che Tseng, Xuehai Zhou, E. Sha (2010)
Write activity reduction on flash main memory via smart victim cache
K. Chun, P. Jain, C. Kim (2009)
A 0.9V, 65nm logic-compatible embedded DRAM with > 1ms data retention time and 53% less static power than a power-gated SRAM
Xiaoxia Wu, Jian Li, Lixin Zhang, W. Speight, R. Rajamony, Yuan Xie (2009)
Hybrid cache architecture with disparate memory technologies
D. Culler, J. Singh, Anoop Gupta (1998)
Parallel computer architecture - a hardware / software approach
J. Hu, C. Xue, Wei-Che Tseng, Qingfeng Zhuge, E. Sha (2010)
Minimizing write activities to non-volatile memory via scheduling and recomputation2010 IEEE 8th Symposium on Application Specific Processors (SASP)
Qing'an Li, Jianhua Li, Liang Shi, C. Xue, Yanxiang He (2012)
MAC: migration-aware compilation for STT-RAM based hybrid cache in embedded systems
Yuan Xie, G. Loh, B. Black, K. Bernstein (2006)
Design space exploration for 3D architecturesACM J. Emerg. Technol. Comput. Syst., 2
Y. Chen, H. Li, X. Wang, W. Zhu, W. Xu, T. Zhang (2010)
A nondestructive self-reference scheme for spin-transfer torque random access memory (stt-ram)Proceedings of the Conference and Exhibition on Design
Kai Li, Christian Bienia (2011)
Benchmarking modern multiprocessors
M. Hosomi, H. Yamagishi (2005)
et al
X. Wu, J. Li, L. Zhang, E. Speight, Y. Xie (2009)
Power and performance of read-write aware hybrid caches with non-volatile memoriesProceedings of the Conference and Exhibition on Design
M. Kandemir, J. Ramanujam, A. Choudhary (2002)
Exploiting shared scratch pad memory space in embedded multiprocessor systemsProceedings of the IEEE/ACM Design Automation Conference (DAC'02)
Sumesh Udayakumaran (2003)
Compiler-decided dynamic memory allocation for scratch-pad based embedded systems
Oren Avissar, R. Barua, D. Stewart (2001)
Heterogeneous memory management for embedded systems
Wei-Che Tseng, C. Xue, Qingfeng Zhuge, J. Hu, E. Sha (2010)
Optimal scheduling to minimize non-volatile memory access time with hardware cache2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip
Article 79, Publication date: February 2014
Y. Shang, Wei Fei, Hao Yu (2012)
Analysis and Modeling of Internal State Variables for Dynamic Effects of Nonvolatile Memory DevicesIEEE Transactions on Circuits and Systems I: Regular Papers, 59
Lei Jiang, Yu Du, Youtao Zhang, B. Childers, Jun Yang (2011)
LLS: Cooperative integration of wear-leveling and salvaging for PCM main memory2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN)
W.-C. Tseng, C. J. Xue, Q. Zhuge, J. Hu, E. H.-M. Sha (2010)
Optimal scheduling to minimize non-volatile memory access time with hardware cacheProceedings of the 18th IEEE/IFIP VLSI System on Chip Conference (VLSI-SOC'10)
Xiangyu Dong, N. Jouppi, Yuan Xie (2009)
PCRAMsim: System-level performance, energy, and area modeling for Phase-Change RAM2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers
M. Hosomi, H. Yamagishi, T. Yamamoto, K. Bessho, Y. Higo, K. Yamane, H. Yamada, M. Shoji, H. Hachino, C. Fukumoto, H. Nagao, Hiroshi Kano (2005)
A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ramIEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest.
The recent emergence of various Non-Volatile Memories (NVMs), with many attractive characteristics such as low leakage power and high-density, provides us with a new way of addressing the memory power consumption problem. In this article, we target embedded CMPs, and propose a novel Hybrid Scratch Pad Memory (HSPM) architecture which consists of SRAM and NVM to take advantage of the ultra-low leakage power, high density of NVM, and fast access of SRAM. A novel data allocation algorithm as well as an algorithm to determine the NVM/SRAM ratio for the novel HSPM architecture are proposed. The experimental results show that the data allocation algorithm can reduce the memory access time by 33.51 and the dynamic energy consumption by 16.81 on average for the HSPM architecture when compared with a greedy algorithm. The NVM/SRAM size determination algorithm can further reduce the memory access time by 14.7 and energy consumption by 20.1 on average.
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: Mar 10, 2014
Keywords: Data allocation
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.