Access the full text.
Sign up today, get DeepDyve free for 14 days.
Masood Qazi, Amerasekera Ajith, Anantha P. Chandrakasan (2013)
A 3IEEE Journal of Solid-State Circuits, 49
M. Qazi, A. Amerasekera, A. Chandrakasan (2013)
A 3.4pJ FeRAM-enabled D flip-flop in 0.13µm CMOS for nonvolatile processing in digital systems2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers
H. Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick Chen, M. Tsai (2012)
Metal–Oxide RRAMProceedings of the IEEE, 100
(2017)
La ice. ice40 lp/hx/lm. la ice
R. Rajaei (2016)
Radiation-Hardened Design of Nonvolatile MRAM-Based FPGAIEEE Transactions on Magnetics, 52
Vilabha Patil, Y. Mane, S. Deshpande (2019)
FPGA Based Power Saving Technique for Sensor Node in Wireless Sensor Network (WSN)
Xiaowei Xu, Dewen Zeng, Wenyao Xu, Yiyu Shi, Yu Hu (2017)
An efficient memristor-based distance accelerator for time series data mining on data centers2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC)
S. Onkaraiah, M. Reyboz, F. Clermidy, J. Portal, M. Bocquet, C. Muller, Hraziia, C. Anghel, A. Amara (2012)
Bipolar ReRAM Based non-volatile flip-flops for low-power architectures10th IEEE International NEWCAS Conference
Weiwen Jiang, Xinyi Zhang, E. Sha, Lei Yang, Qingfeng Zhuge, Yiyu Shi, J. Hu (2019)
Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search2019 56th ACM/IEEE Design Automation Conference (DAC)
Xiaochen Guo, Engin Ipek, Tolga Soyata (2010)
Resistive computation: Avoiding the power wall with low-leakage, STT-MRAM based computingACM SIGARCH Computer Architecture News
Kangwook Jo, Kyungseon Cho, H. Yoon (2016)
Variation-tolerant and low power look-up table (LUT) using spin-torque transfer magnetic RAM for non-volatile field programmable gate array (FPGA)2016 International SoC Design Conference (ISOCC)
Xifan Tang, P. Gaillardon, G. Micheli (2014)
A high-performance low-power near-Vt RRAM-based FPGA2014 International Conference on Field-Programmable Technology (FPT)
Mimi Xie, Mengying Zhao, Chen Pan, Hehe Li, Yongpan Liu, Youtao Zhang, Chun Jason Xue, Jingtong Hu (2016)
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systemsProceedings of the 11th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. IEEE
Ramtin Zand, R. Demara (2017)
Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset toleranceJournal of Physics D: Applied Physics, 50
Chen Pan, Mimi Xie, Yongpan Liu, Yanzhi Wang, C. Xue, Yuangang Wang, Yiran Chen, J. Hu (2017)
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvestingProceedings of the 18th ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems
A. Obeid, F. Karray, M. Jmal, M. Abid, Syed Qasim, M. BenSaleh (2016)
Towards realisation of wireless sensor network-based water pipeline monitoring systems: a comprehensive review of techniques and platformsIet Science Measurement & Technology, 10
H. Almurib, T. Kumar, F. Lombardi (2016)
Design and evaluation of a memristor-based look-up table for non-volatile field programmable gate arraysIET Circuits Devices Syst., 10
K. Jabeur, G. Pendina, G. Prenat (2014)
Ultra-energy-efficient CMOS/magnetic nonvolatile flip-flop based on spin-orbit torque deviceElectronics Letters, 50
Zhe Yuan, Yongpan Liu, Hehe Li, Huazhong Yang (2016)
CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC)
B. Bengherbia, M. Zmirli, Abdelmoghni Toubal, A. Guessoum (2017)
FPGA-based wireless sensor nodes for vibration monitoring system and fault diagnosisMeasurement, 101
Xiaowei Xu, Dewen Zeng, Wenyao Xu, Yiyu Shi, Yu Hu (2017)
An efficient memristor-based distance accelerator for time series data mining on data centersProceedings of the 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 2017
Kiwan Maeng, A. Colin, Brandon Lucia (2017)
Alpaca: intermittent execution without checkpointsProceedings of the ACM on Programming Languages, 1
P. Gaillardon, D. Sacchetto, G. Beneventi, Luca Perniola, F. Clermidy, Ian O’Connor, G. Micheli (2013)
Design and Architectural Assessment of 3-D Resistive Memory Technologies in FPGAsIEEE Transactions on Nanotechnology, 12
Mimi Xie, Mengying Zhao, Chen Pan, Hehe Li, Yongpan Liu, Youtao Zhang, C. Xue, J. Hu (2016)
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Albert Lee, Chieh-Pu Lo, Chien-Chen Lin, Wei-Hao Chen, Kuo-Hsiang Hsu, Zhibo Wang, Fang Su, Zhe Yuan, Qi Wei, Y. King, C. Lin, Hochul Lee, P. Amiri, Kang Wang, Yu Wang, Huazhong Yang, Yongpan Liu, Meng-Fan Chang (2017)
A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile ProcessorsIEEE Journal of Solid-State Circuits, 52
S. Chakradhar, M. Sankaradass, Venkata Jakkula, S. Cadambi (2010)
A dynamically configurable coprocessor for convolutional neural networksProceedings of the 37th annual international symposium on Computer architecture
Tsai-Kan Chien, L. Chiou, Yao-Chun Chuang, S. Sheu, Heng-Yuan Li, Pei-Hua Wang, T. Ku, M. Tsai, Chih-I Wu (2016)
A low store energy and robust ReRAM-based flip-flop for normally off microprocessors2016 IEEE International Symposium on Circuits and Systems (ISCAS)
(2009)
Xilinx floorplanning methodology guide
Kaisheng Ma, Xueqing Li, Shuangchen Li, Yongpan Liu, J. Sampson, Yuan Xie, N. Vijaykrishnan (2015)
Nonvolatile Processor Architecture Exploration for Energy-Harvesting ApplicationsIEEE Micro, 35
Weiwen Jiang, E. Sha, Xinyi Zhang, Lei Yang, Qingfeng Zhuge, Yiyu Shi, J. Hu (2019)
Achieving Super-Linear Speedup across Multi-FPGA for Real-Time DNN InferenceACM Transactions on Embedded Computing Systems (TECS), 18
Xifan Tang, Gain Kim, P. Gaillardon, G. Micheli (2016)
A Study on the Programming Structures for RRAM-Based FPGA ArchitecturesIEEE Transactions on Circuits and Systems I: Regular Papers, 63
ChakradharSrimat, SankaradasMurugan, JakkulaVenkata, CadambiSrihari (2010)
A dynamically configurable coprocessor for convolutional neural networksACM Sigarch Computer Architecture News
Yuko Hara-Azumi, H. Tomiyama, S. Honda, H. Takada (2009)
Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level SynthesisJ. Inf. Process., 17
Weiwen Jiang, Xinyi Zhang, Edwin H.-M. Sha, Lei Yang, Qingfeng Zhuge, Yiyu Shi, Jingtong Hu (2019)
Accuracy vsefficiency: Achieving both through FPGA-implementation aware neural architecture search. arXiv preprint arXiv:1901.11211 (2019).
Lei Ju, Xiaojin Sui, Shiqing Li, Mengying Zhao, C. Xue, J. Hu, Zhiping Jia (2018)
NVM-Based FPGA Block RAM With Adaptive SLC-MLC ConversionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37
Andrew Canis, Jongsok Choi, Mark Aldham, Victor Zhang, Ahmed Kammoona, Tomasz Czajkowski, S. Brown, J. Anderson (2013)
LegUp: An open-source high-level synthesis tool for FPGA-based processor/accelerator systemsACM Trans. Embed. Comput. Syst., 13
R. Nane, V. Sima, C. Pilato, Jongsok Choi, B. Fort, Andrew Canis, Yu Chen, Hsuan Hsiao, S. Brown, Fabrizio Ferrandi, J. Anderson, K. Bertels (2016)
A Survey and Evaluation of FPGA High-Level Synthesis ToolsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35
Saad Ahmed, Muhammad Alizai, J. Siddiqui, Naveed Bhatti, L. Mottola (2018)
Towards smaller checkpoints for better intermittent computing: poster abstract
Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, Chun Jason Xue, Jingtiong Hu (2018)
Low overhead online checkpoint for intermittently powered non-volatile FPGAsProceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 2018
(2016)
Almurib , Thulasiraman Nandha Kumar , and Fabrizio Lombardi
Xiaowei Xu, Qing Lu, Tianchen Wang, Jinglan Liu, Cheng Zhuo, Xiaobo Sharon Hu, Yiyu Shi (2017)
Edge segmentation: Empowering mobile telemedicine with compressed cellular neural networksProceedings of the 36th International Conference on Computer-Aided Design. IEEE Press
Farheen Khan, A. Ye (2017)
A study on the accuracy of minimum width transistor area in estimating FPGA layout areaMicroprocess. Microsystems, 52
Lattice Semiconductor
iCE40 LP/HX/LM([n.d.]). Retrieved from http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx.
Domenico Balsamo, A. Weddell, G. Merrett, B. Al-Hashimi, D. Brunelli, L. Benini (2015)
Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting SystemsIEEE Embedded Systems Letters, 7
J. Cong, Bingjun Xiao (2011)
mrFPGA: A novel FPGA architecture with memristor-based reconfiguration2011 IEEE/ACM International Symposium on Nanoscale Architectures
Xiaowei Xu, Yukun Ding, Sharon Hu, M. Niemier, J. Cong, Yu Hu, Yiyu Shi (2018)
Scaling for edge inference of deep neural networksNature Electronics, 1
T. Xue (2015)
Analysis of Magnetic Plucking Configurations for Frequency Up-Converting Harvesters
Azalia Mirhoseini, B. Rouhani, Ebrahim Songhori, F. Koushanfar (2016)
Chime: Checkpointing Long Computations on Interm ittently Energized IoT DevicesIEEE Transactions on Multi-Scale Computing Systems, 2
D. Navarro, Óscar Lucía, L. Barragan, I. Urriza, Ó. Jiménez (2013)
High-Level Synthesis for Accelerating the FPGA Implementation of Computationally Demanding Control Algorithms for Power ConvertersIEEE Transactions on Industrial Informatics, 9
P. Gaillardon, M. Jamaa, M. Reyboz, G. Beneventi, F. Clermidy, L. Perniola, I. O’Connor (2010)
Phase-change-memory-based storage elements for configurable logic2010 International Conference on Field-Programmable Technology
S. Cadambi, Abhinandan Majumdar, M. Becchi, S. Chakradhar, H. Graf (2010)
A programmable parallel accelerator for learning and classification2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT)
Xilinx
([nRetrieved from https://www.xilinx.com/support/documentation/sw_manuals/xilinx12_4/Floorplanning_Methodology_Guide.pdf.
Yibo Chen, Jishen Zhao, Yuan Xie (2010)
3D-NonFAR: Three-dimensional non-volatile FPGA architecture using phase change memory2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED)
Weisheng Zhao, E. Belhaire, C. Chappert, P. Mazoyer (2009)
Spin transfer torque (STT)-MRAM--based runtime reconfiguration FPGA circuitACM Trans. Embed. Comput. Syst., 9
Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, C. Xue, J. Hu (2018)
Low Overhead Online Checkpoint for Intermittently Powered Non-volatile FPGAs2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)
Saad Ahmed, Muhammad Hamad Alizai, Junaid Haroon Siddiqui, Naveed Anwar Bhatti, Luca Mottola (2018)
Towards smaller checkpoints for better intermittent computingProceedings of the 2018 17th ACM/IEEE International Conference on Information Processing in Sensor Networks (IPSN). IEEE, 2018
Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, J. Sampson, M. Kandemir, N. Vijaykrishnan (2017)
Incidental Computing on IoT Nonvolatile Processors2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Xiaowei Xu, Q. Lu, Tianchen Wang, Jinglan Liu, Cheng Zhuo, X. Hu, Yiyu Shi (2017)
Edge segmentation: Empowering mobile telemedicine with compressed cellular neural networks2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Xiaochen Guo, Engin Ipek, T. Soyata (2010)
Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computingProceedings of the 37th annual international symposium on Computer architecture
Kangwook Jo, Kyungseon Cho, Hongil Yoon (2016)
Variation-tolerant and low power look-up table (LUT) using spin-torque transfer magnetic RAM for non-volatile field programmable gate array (FPGA)Proceedings of the 2016 International SoC Design Conference (ISOCC). IEEE, 2016
Mimi Xie, Mengying Zhao, Chen Pan, J. Hu, Yongpan Liu, C. Xue (2015)
Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
Energy harvesting is an attractive way to power future Internet of Things (IoT) devices since it can eliminate the need for battery or power cables. However, harvested energy is intrinsically unstable. While Field-programmable Gate Array (FPGAs) have been widely adopted in various embedded systems, it is hard to survive unstable power since all the memory components in FPGA are based on volatile Static Random-access Memory (SRAMs). The emerging non-volatile memory-based FPGAs provide promising potentials to keep configuration data on the chip during power outages. Few works have considered implementing efficient runtime intermediate data checkpoint on non-volatile FPGAs. To realize accumulative computation under intermittent power on FPGA, this article proposes a low-cost design framework, Data-Flow-Tracking FPGA (DFT-FPGA), which utilizes binary counters to track intermediate data flow. Instead of keeping all on-chip intermediate data, DFT-FPGA only targets on necessary data that is labeled by off-line analysis and identified by an online tracking system. The evaluation shows that compared with state-of-the-art techniques, DFT-FPGA can realize accumulative computing with less off-line workload and significantly reduce online roll-back time and resource utilization.
ACM Journal on Emerging Technologies in Computing Systems (JETC) – Association for Computing Machinery
Published: Jul 1, 2020
Keywords: Energy harvesting
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.