Access the full text.
Sign up today, get DeepDyve free for 14 days.
K. Atasu, L. Pozzi, P. Ienne (2003)
Automatic application-specific instruction-set extensions under microarchitectural constraintsProceedings of Design Automation Conference
D. Baran, M. Aktan, V. Oklobdzija (2011)
Multiplier structures for low power applications in deep-CMOS2011 IEEE International Symposium of Circuits and Systems (ISCAS)
G. Cardarilli, A. Nannarelli, M. Re (2007)
Residue Number System for Low-Power DSP Applications2007 Conference Record of the Forty-First Asilomar Conference on Signals, Systems and Computers
D. Jacobsohn (1964)
A Suggestion for a Fast MultiplierIEEE Trans. Electron. Comput., 13
N. Rani, B. Krishna (2018)
ENERGY-EFFICIENT VLSI REALIZATION OF BINARY 64 DIVISION WITH REDUNDANT NUMBER SYSTEMS
NanGate FreePDK Opn Cell Library (2011)
Retrieved 2014 from http://wwwRetrieved 2014 from http://www.NanGate.com/?page_id=2325
J. Hennessy, D. Patterson (1969)
Computer Architecture - A Quantitative Approach, 5th Edition
K. Cooper, L. Torczon (2011)
Engineering a Compiler (2nd edMorgan Kaufmann.
InternationalJournalofParallelProgramming,Vol.31,No.6,December2003(©2003) Automatic Application-Specific Instruction-Set Extensions under Microarchitectural Constraints
M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, R. B. Brown (2001)
MiBench: A free, commercially representative embedded benchmark suiteProceedings of IEEE 4th Annual Workshop on Workload Characterization
G. Jaberipur, B. Parhami (2012)
Efficient realisation of arithmetic algorithms with weighted collection of posibits and negabitsIET Comput. Digit. Tech., 6
S. Kuo, W. Gan (2004)
Digital Signal Processors: Architectures, Implementations, and Applications
M. Flynn, H. Fahmy (2003)
A Redundant Digit Floating Point System
E. Antelo, T. Lang, P. Montuschi, A. Nannarelli (2005)
Digit-recurrence dividers with reduced logical depthIEEE Transactions on Computers, 54
G. Jaberipur, S. Gorgin (2010)
An improved maximally redundant signed digit adderComput. Electr. Eng., 36
K. Cooper, Linda Torczon (2003)
Engineering a Compiler
L. Yu, D. Lewis (1991)
A 30-b integrated logarithmic number system processorIEEE Journal of Solid-state Circuits, 26
S. Amanollahi, G. Jaberipur (2017)
Energy-Efficient VLSI Realization of Binary64 Division With Redundant Number SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25
L. Pozzi, K. Atasu, P. Ienne (2006)
Exact and approximate algorithms for the extension of embedded processor instruction setsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25
Matthew Guthaus, J. Ringenberg, Dan Ernst, T. Austin, T. Mudge, Richard Brown (2001)
MiBench: A free, commercially representative embedded benchmark suiteProceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538)
J. Stokes (2006)
Inside the Machine: An Illustrated Introduction to Microprocessors and Computer Architecture
J. Ramírez, Antonio García, S. López-Buedo, A. Lloris (2002)
RNS-enabled digital signal processor designElectronics Letters, 38
Jon Stokes (2007)
Inside the machine: An Illustrated Introduction to Microprocessors and Computer Architecture, No Starch Press, San Francisco
P. Meher, T. Stouraitis (2017)
Redundant Number System‐Based Arithmetic Circuits
H. Fahmy, M. Flynn (2003)
Rounding in redundant digit floating point system, 5205
Rooju Chokshi, Krzysztof Berezowski, Aviral Shrivastava, S. Piestrak (2009)
Exploiting residue number system for power-efficient digital signal processing in embedded processors
Nathan Clark, Hongtao Zhong, S. Mahlke (2005)
Automated custom instruction generation for domain-specific processor accelerationIEEE Transactions on Computers, 54
MIPS7 (2014)
Retrieved 2017 from https://opencoresRetrieved 2017 from https://opencores.org/project,mips789.
The ARM State Register Set (2016)
Retrieved 2017 from http://infocenterRetrieved 2017 from http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ddi0211h/ch02s08s01.html.
Chip-Hong Chang, A. Molahosseini, Azadeh Zarandi, Tian Tay (2015)
Residue Number Systems: A New Paradigm to Datapath Optimization for Low-Power and High-Performance Digital Signal Processing ApplicationsIEEE Circuits and Systems Magazine, 15
G. C. Cardarilli, A. Nannarelli, M. Re (2007)
Residue number system for low-power DSP applicationsProceedings of Conference Record of the 41st Asilomar Conference on Signals, 2007
G. Jaberipur, B. Parhami, S. Gorgin (2010)
Redundant-Digit Floating-Point Addition Scheme Based on a Stored Rounding ValueIEEE Transactions on Computers, 59
Chunho Lee, M. Potkonjak, W. Mangione-Smith (1997)
MediaBench: a tool for evaluating and synthesizing multimedia and communications systemsProceedings of 30th Annual International Symposium on Microarchitecture
Partha Garai, Chaitali Dutta (2014)
RNS based reconfigurable processor for high speed signal processingTENCON 2014 - 2014 IEEE Region 10 Conference
The GNU Compiler Collection (2016)
Retrieved 2017 from https://GCCRetrieved 2017 from https://GCC.gnu.org.
F. Taylor, Rabinder Gill, J. Joseph, J. Radke (1988)
A 20 Bit Logarithmic Number System ProcessorIEEE Trans. Computers, 37
J. Ebergen, Navaneeth Jamadagni (2015)
Radix-2 Division Algorithms with an Over-Redundant Digit SetIEEE Transactions on Computers, 64
N. Bélanger, Y. Savaria (2006)
On the Design of a Double Precision Logarithmic Number System Arithmetic Unit2006 IEEE North-East Workshop on Circuits and Systems
D. A. Patterson, J. L. Hennessy (2004)
Computer Organization and Design: The Hardware/Software Interface (3rd edMorgan Kaufmann.
D. Patterson, J. Hennessy (2008)
Computer Organization and Design, Fourth Edition, Fourth Edition: The Hardware/Software Interface (The Morgan Kaufmann Series in Computer Architecture and Design)
M. Kamal, A. Afzali-Kusha, S. Safari, M. Pedram (2015)
OPLE: A Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow GraphsACM Trans. Embed. Comput. Syst., 14
Sheng-Chieh Huang, Liang-Gee Chen, Chao-Ho Chen (1996)
A 32-bit logarithmic number system processorJournal of VLSI signal processing systems for signal, image and video technology, 14
A. Hagiescu, Huynh Huynh, W. Wong, R. Goh (2011)
Automated Architecture-Aware Mapping of Streaming Applications Onto GPUs2011 IEEE International Parallel & Distributed Processing Symposium
Paolo Bonzini, L. Pozzi (2008)
Recurrence-Aware Instruction Set Selection for Extensible Embedded ProcessorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16
The impact of extending the instruction set architecture (ISA) of a conventional binary processor by a set of redundant-digit arithmetic instructions is studied. Selected binary arithmetic instructions within a given code sequence are replaced with appropriate redundant-digit ones. The selection criteria is so enforced to lead to overall reduction of execution energy and energy-delay product (EDP). A special branch and bound algorithm is devised to modify the dataflow graph (DFG) to a new one that takes advantage of the extended redundant-digit instruction set. The DFG is obtained, via an in-house tool, from the intermediate code representation that is normally produced by the utilized compiler. The required redundant-digit arithmetic operations (including a multiplier, a multiply accumulator, and three- to four-operand redundant-digit adders specially designed for this work) have been synthesized on 45nm NanGate technology by a Synopsys Design Compiler. To evaluate the impact of the proposed ISA augmentation on actual code execution, the simulation and evaluation platform of our choice is an MIPS processor whose ISA is extended by the proposed redundant-digit instructions. Several digital signal processing benchmarks are utilized as the source of the baseline MIPS codes, which are converted (via the aforementioned algorithm) to the equivalent mixed binary/redundant-digit codes. Our experiments, as such, show up to 26% energy and 44% EDP savings.
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: May 22, 2018
Keywords: Energy Improvement
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.