Access the full text.
Sign up today, get DeepDyve free for 14 days.
Fanxin Kong, Yiqun Wang, Qingxu Deng, W. Yi (2010)
Minimizing Multi-resource Energy for Real-Time Systems with Discrete Operation Modes2010 22nd Euromicro Conference on Real-Time Systems
Jaeyeon Kang, S. Ranka (2008)
DVS based energy minimization algorithm for parallel machines2008 IEEE International Symposium on Parallel and Distributed Processing
Euiseong Seo, Jinkyu Jeong, Seon-Yeong Park, Joonwon Lee (2008)
Energy Efficient Scheduling of Real-Time Tasks on Multicore ProcessorsIEEE Transactions on Parallel and Distributed Systems, 19
C. Xian, Yung-Hsiang Lu, Zhiyuan Li (2007)
Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time2007 44th ACM/IEEE Design Automation Conference
Keqin Li (2012)
Scheduling Precedence Constrained Tasks with Reduced Processor Energy on Multiprocessor ComputersIEEE Transactions on Computers, 61
Robert Davis, A. Burns (2011)
A survey of hard real-time scheduling for multiprocessor systemsACM Comput. Surv., 43
Meng Xu, Robert Gifford, L. Phan (2019)
Holistic multi-resource allocation for multicore real-time virtualization2019 56th ACM/IEEE Design Automation Conference (DAC)
S. Sruti, Ashikahmed Bhuiyan, Zhishan Guo (2018)
Work-in-Progress: Precise Scheduling of Mixed-Criticality Tasks by Varying Processor Speed2018 IEEE Real-Time Systems Symposium (RTSS)
Hyoseung Kim, Arvind Kandhalu, R. Rajkumar (2013)
A Coordinated Approach for Practical OS-Level Cache Management in Multi-core Real-Time Systems2013 25th Euromicro Conference on Real-Time Systems
P. Valsan, H. Yun, F. Farshchi (2016)
Taming Non-Blocking Caches to Improve Isolation in Multicore Real-Time Systems2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)
G. Gracioli, A. Alhammad, R. Mancuso, A. Fröhlich, R. Pellizzoni (2015)
A Survey on Cache Management Mechanisms for Real-Time Embedded SystemsACM Computing Surveys (CSUR), 48
Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung Ho Ahn, Norman P. Jouppi (2008)
CACTI 5Technical Report. Technical Report HPL-2008-20
Meng Xu, Linh Thi Xuan Phan, Hyon-Young Choi, Yuhan Lin, Haoran Li, Chenyang Lu, Insup Lee (2019)
Holistic resource allocation for multicore real-time systemsProceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’19)
Weixun Wang, P. Mishra (2010)
Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Real-Time Systems2010 23rd International Conference on VLSI Design
Takashi Nakada (2017)
Low-Power Circuit Technologies
H. Yun, R. Mancuso, Z. Wu, R. Pellizzoni (2014)
PALLOC: DRAM bank-aware memory allocator for performance isolation on multicore platforms2014 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS)
P. Gepner, M. Kowalik (2006)
Multi-Core Processors: New Way to Achieve High System PerformanceInternational Symposium on Parallel Computing in Electrical Engineering (PARELEC'06)
Santiago Pagani, Jian-Jia Chen, Minming Li (2015)
Energy Efficiency on Multi-Core Architectures with Multiple Voltage IslandsIEEE Transactions on Parallel and Distributed Systems, 26
Shrinivas Panchamukhi, F. Mueller (2015)
Providing task isolation via TLB coloring21st IEEE Real-Time and Embedded Technology and Applications Symposium
Zhishan Guo, Kecheng Yang, Fan Yao, Amro Awad (2020)
Inter-task cache interference aware partitioned real-time schedulingProceedings of the 35th Annual ACM Symposium on Applied Computing
Yumin Zhang, X. Hu, D. Chen (2002)
Task scheduling and voltage selection for energy minimizationProceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)
P. Parkinson (2016)
Applying MILS to multicore avionics systems
Marco Gerards, J. Hurink, P. Hölzenspies (2016)
A survey of offline algorithms for energy minimization under deadline constraintsJournal of Scheduling, 19
Santiago Pagani, A. Pathania, M. Shafique, Jian-Jia Chen, J. Henkel (2017)
Energy Efficiency for Clustered Heterogeneous MulticoresIEEE Transactions on Parallel and Distributed Systems, 28
Mingsong Lv, Nan Guan, J. Reineke, R. Wilhelm, W. Yi (2016)
A Survey on Static Cache Analysis for Real-Time SystemsLeibniz Trans. Embed. Syst., 3
Gang Chen, Kai Huang, Alois Knoll (2014)
Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combinationACM Trans. Embed. Comput. Syst., 13
S. Narayana, Pengcheng Huang, G. Giannopoulou, L. Thiele, R. Prasad (2016)
Exploring Energy Saving for Mixed-Criticality Systems on Multi-Cores2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)
Shaoxiong Hua, G. Qu (2005)
Power minimization techniques on distributed real-time systems by global and local slack managementProceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005., 2
Amjad Mahmood, S. Khan, F. Albalooshi, Noor Awwad (2017)
Energy-Aware Real-Time Task Scheduling in Multiprocessor Systems Using a Hybrid Genetic AlgorithmElectronics, 6
M. Schmitz, B. Al-Hashimi (2001)
Considering power variations of DVS processing elements for energy minimisation in distributed systemsInternational Symposium on System Synthesis (IEEE Cat. No.01EX526)
N. Binkert, Bradford Beckmann, Gabriel Black, S. Reinhardt, A. Saidi, Arkaprava Basu, Joel Hestness, Derek Hower, T. Krishna, S. Sardashti, Rathijit Sen, Korey Sewell, Muhammad Altaf, Nilay Vaish, M. Hill, D. Wood (2011)
The gem5 simulatorSIGARCH Comput. Archit. News, 39
Jiong Luo, N. Jha (2007)
Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26
Markus Levy, T. Conte (2009)
Embedded Multicore Processors and SystemsIEEE Micro, 29
S. Sheikh, Muhammad Pasha (2018)
Energy-Efficient Multicore Scheduling for Hard Real-Time SystemsACM Transactions on Embedded Computing Systems (TECS), 17
Christian Bienia, Sanjeev Kumar, J. Singh, Kai Li (2008)
The PARSEC benchmark suite: Characterization and architectural implications2008 International Conference on Parallel Architectures and Compilation Techniques (PACT)
Hakan Aydin, Qi Yang (2003)
Energy-aware partitioning for multiprocessor real-time systemsProceedings International Parallel and Distributed Processing Symposium
Weixun Wang, P. Mishra, S. Ranka (2011)
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
R. Jejurikar, Rajesh Gupta (2004)
Dynamic voltage scaling for systemwide energy minimization in real-time embedded systemsProceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
Meng Xu, Robert Gifford, Linh Thi Xuan Phan (2019)
Holistic multi-resource allocation for multicore real-time virtualizationProceedings of the 56th Annual Design Automation Conference (DAC’19). ACM
Zhishan Guo, Ashikahmed Bhuiyan, Di Liu, Aamir Khan, Abusayeed Saifullah, Nan Guan (2019)
Energy-Efficient Real-Time Scheduling of DAGs on Clustered Multi-Core Platforms2019 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)
José March, J. Sahuquillo, S. Petit, H. Hassan, J. Duato (2013)
Power‐aware scheduling with effective task migration for real‐time multicore embedded systemsConcurrency and Computation: Practice and Experience, 25
Jiong Luo, N. K. Jha (2007)
Power-efficient scheduling for heterogeneous distributed real-time embedded systemsTrans. Comp.-Aided Des. Integ. Cir. Sys., 26
Xiliang Zhong, Chengzhong Xu (2006)
System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation2006 IEEE/ACM International Conference on Computer Aided Design
Nan Guan, Martin Stigge, W. Yi, Ge Yu (2009)
Cache-aware scheduling and analysis for multicores
Xiliang Zhong, Cheng-Zhong Xu (2008)
System-wide energy minimization for real-time tasks: Lower bound and approximationACM Trans. Embed. Comput. Syst., 7
Saad Zia Sheikh, Muhammad Adeel Pasha (2018)
Energy-efficient multicore scheduling for hard real-time systems: A surveyACM Trans. Embed. Comput. Syst., 17
Gang Chen, Biao Hu, Kai Huang, A. Knoll, Kai Huang, Di Liu, T. Stefanov (2014)
Automatic cache partitioning and time-triggered scheduling for real-time MPSoCs2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14)
Gang Chen, Kai Huang, Jia Huang, Alois Knoll (2013)
Cache partitioning and scheduling for energy optimization of real-time MPSoCsProceedings of the IEEE 24th International Conference on Application-Specific Systems
Marcus T. Schmitz, Bashir M. Al-Hashimi (2001)
Considering power variations of DVS processing elements for energy minimisation in distributed systemsProceedings of the 14th International Symposium on Systems Synthesis (ISSS’01). ACM
Meng Xu, L. Phan, Hyon-Young Choi, Insup Lee (2016)
Analysis and Implementation of Global Preemptive Fixed-Priority Scheduling with Dynamic Cache Allocation2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)
Gang Chen, Kai Huang, A. Knoll (2013)
Abstract: Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combinationThe 11th IEEE Symposium on Embedded Systems for Real-time Multimedia
B. Berna, I. Puaut (2012)
PDPA: period driven task and cache partitioning algorithm for multi-core systems
D. Patterson, J. Hennessy (1969)
Computer Architecture: A Quantitative Approach
Junlong Zhou, Jianming Yan, Tongquan Wei, Mingsong Chen, X. Hu (2017)
Energy-adaptive scheduling of imprecise computation tasks for QoS optimization in real-Time MPSoC systemsDesign, Automation & Test in Europe Conference & Exhibition (DATE), 2017
S. Sheikh, Muhammad Pasha (2019)
An Improved Model for System-Level Energy Minimization on Real-Time Systems2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)
Weixun Wang, P. Mishra (2012)
System-Wide Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Multitasking SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20
Gang Chen, Kai Huang, Jia Huang, A. Knoll (2013)
Cache partitioning and scheduling for energy optimization of real-time MPSoCs2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors
Hyoseung Kim, R. Rajkumar (2017)
Predictable Shared Cache Management for Multi-Core Real-Time VirtualizationACM Transactions on Embedded Computing Systems (TECS), 17
Yudong Tan, V. Mooney (2004)
Integrated Intra- and Inter-task Cache Analysis for Preemptive Multi-tasking Real-Time Systems
Andrea Lodi, S. Martello, M. Monaci (2002)
Two-dimensional packing problems: A surveyEur. J. Oper. Res., 141
H. Yun, Po-Liang Wu, Anshu Arya, Cheolgi Kim, T. Abdelzaher, L. Sha (2010)
System-wide energy optimization for multiple DVS components and real-time tasksReal-Time Systems, 47
Alessandra Melani, M. Bertogna, Robert Davis, V. Bonifaci, A. Marchetti-Spaccamela, G. Buttazzo (2017)
Exact Response Time Analysis for Fixed Priority Memory-Processor Co-SchedulingIEEE Transactions on Computers, 66
M. Bambagini, Mauro Marinoni, Hakan Aydin, G. Buttazzo (2016)
Energy-Aware Scheduling for Real-Time SystemsACM Transactions on Embedded Computing Systems (TECS), 15
Gustavo Chaparro-Baquero, Soamar Homsi, Omara Vichot, Shaolei Ren, Gang Quan, Shangping Ren (2015)
Cache allocation for fixed-priority real-time scheduling on multi-core platforms2015 33rd IEEE International Conference on Computer Design (ICCD)
B. Bui, M. Caccamo, L. Sha, Joseph Martinez (2008)
Impact of Cache Partitioning on Multi-tasking Real Time Embedded Systems2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications
Xing Fu, K. Kabir, Xiaorui Wang (2011)
Cache-Aware Utilization Control for Energy Efficiency in Multi-Core Real-Time Systems2011 23rd Euromicro Conference on Real-Time Systems
Mario Bambagini, Mauro Marinoni, Hakan Aydin, Giorgio Buttazzo (2016)
Energy-aware scheduling for real-time systems: A surveyACM Trans. Embed. Comput. Syst., 15
Sanjoy Baruah, M. Bertogna, G. Buttazzo (2015)
Multiprocessor Scheduling for Real-Time Systems
Zaid Al-bayati, Youcheng Sun, Haibo Zeng, M. Natale, Qi Zhu, B. Meyer (2019)
Partitioning and Selection of Data Consistency Mechanisms for Multicore Real-Time SystemsACM Transactions on Embedded Computing Systems (TECS), 18
Marco Gerards, J. Hurink, J. Kuper (2015)
On the Interplay between Global DVFS and Scheduling Tasks with Precedence ConstraintsIEEE Transactions on Computers, 64
Chuanjun Zhang, F. Vahid, W. Najjar (2005)
A highly configurable cache for low energy embedded systemsACM Trans. Embed. Comput. Syst., 4
Sparsh Mittal (2014)
A survey of architectural techniques for improving cache power efficiencySustain. Comput. Informatics Syst., 4
Ashikahmed Bhuiyan, Zhishan Guo, Abusayeed Saifullah, Nan Guan, Haoyi Xiong (2018)
Energy-Efficient Real-Time Scheduling of DAG TasksACM Transactions on Embedded Computing Systems (TECS), 17
A. Colin, Arvind Kandhalu, R. Rajkumar (2016)
Energy-Efficient Allocation of Real-Time Applications onto Single-ISA Heterogeneous Multi-Core ProcessorsJournal of Signal Processing Systems, 84
Jian-Jia Chen, Heng-Ruey Hsu, Kai-Hsiang Chuang, Chia-Lin Yang, Ai-Chun Pang, Tei-Wei Kuo (2004)
Multiprocessor energy-efficient scheduling with task migration considerationsProceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004.
Marco Paolieri, Eduardo Quiñones, Francisco J. Cazorla, Robert I. Davis, Mateo Valero (2011)
IA3: An interference aware allocation algorithm for multicore hard real-time systemsProceedings of the 17th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’11). IEEE
Ashikahmed Bhuiyan, Sai Sruti, Zhishan Guo, Kecheng Yang (2019)
Precise scheduling of mixed-criticality tasks by varying processor speedProceedings of the 27th International Conference on Real-Time Networks and Systems (RTNS’19). Association for Computing Machinery
Weixun Wang, Prabhat Mishra, Ranka Sanjay (2011)
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systemsProceedings of the 48th ACM/IEEE Design Automation Conference (DAC’11)
With the increasing demand for higher performance, the adoption of multicores has been a major stepping stone in the evolution of hard real-time systems. Though the computational bandwidth is increased due to parallel processing, the indispensable interactivity between the hierarchical memory sub-system and multiple cores has further aggravated the already complex worst case execution time (WCET) analysis of tasks. Furthermore, caches have the biggest influence on task execution time, and the inclusion of shared caches further increases the unpredictability of the system. Cache partitioning techniques have been proposed as a counter-measure to decouple the shared cache latency from the WCET. However, existing energy-efficient scheduling algorithms are oblivious to the unpredictable nature of shared caches or cache partitioning techniques, thus, diminishing their applicability to real-world systems. Without considering inter-task cache contention, directly using existing algorithms or attempting to allocate and schedule a taskset with cache-partition assignments can result in cache violations. To overcome this dilemma, we propose a novel approach to model inter-task cache contention as a dependency graph to be used by well-established algorithms to minimize energy consumption. Extensive simulations demonstrate the effectiveness of our approach to minimize energy consumption while also avoiding cache violations.
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: Jul 4, 2020
Keywords: DAG
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.