Access the full text.
Sign up today, get DeepDyve free for 14 days.
Takao Watanabe, R. Fujita, K. Yanagisawa (1997)
Low-Power and High-Speed Advantages of DRAM-Logic Integration for Multimedia Systems (Special Issue on Low-Power and High-Speed LSI Technologies)IEICE Transactions on Electronics, 80
D. Keitel-Schulz, N. Wehn (2001)
Embedded DRAM Development: Technology, Physical Design, and Application IssuesIEEE Des. Test Comput., 18
A. Kunimatsu, N. Ide, Toshinori Sato, Y. Endo, H. Murakami, T. Kamei, Masashi Hirano, Fujio Ishihara, H. Tago, Masaaki Oka, A. Ohba, T. Yutaka, T. Okada, M. Suzuoki (2000)
Vector Unit Architecture for Emotion SynthesisIEEE Micro, 20
D. Sarrazin, M. Malek (1984)
Fault-Tolerant Semiconductor MemoriesComputer, 17
M. Kamble, K. Ghose (1997)
Analytical energy dissipation models for low-power caches
Katsuro Sasaki, S. Hanamura, K. Ueda, T. Oono, O. Minato, Y. Sakai, S. Meguro, M. Tsunematsu, T. Masuhara, M. Kubotera, H. Toyoshima (1988)
A 15-ns 1-Mbit CMOS SRAMIEEE Journal of Solid-state Circuits, 23
(2002)
Received January
D. Frank, R. Dennard, E. Nowak, P. Solomon, Y. Taur, H.-S.P. Wong (2001)
Device scaling limits of Si MOSFETs and their application dependenciesProc. IEEE, 89
A. Macii, L. Benini, M. Poncino (2002)
Memory design techniques for low energy embedded systems
Massoud Pedram, J. Rabaey (2002)
Power Aware Design Methodologies
K. Kimura, K. Itoh, R. Hori, J. Etoh, Y. Kawajiri, H. Kawamoto, Katsuyuki Sato, T. Matsumoto (1986)
Power Reduction Techniques in Megabit DRAM'sIEEE Journal of Solid-state Circuits, 21
M. Suzuoki, K. Kutaragi, T. Hiroi, H. Magoshi, Shin'ichi Okamoto, M. Oka, A. Ohba, Y. Yamamoto, M. Furuhashi, Masayoshi Tanaka, T. Yutaka, T. Okada, M. Nagamatsu, Y. Urakawa, Masami Funyu, A. Kunimatsu, Harutaka Goto, K. Hashimoto, N. Ide, H. Murakami, Y. Ohtaguro, A. Aono (1999)
A microprocessor with a 128-bit CPU, ten floating-point MAC's, four floating-point dividers, and an MPEG-2 decoderIEEE J. Solid State Circuits, 34
A. Farrahi, G. Téllez, M. Sarrafzadeh (1995)
Memory Segmentation to Exploit Sleep Mode Operation32nd Design Automation Conference
R. Bajwa, M. Hiraki, H. Kojima, D. Gorny, K. Nitta, A. Shridhar, K. Seki, K. Sasaki (1997)
Instruction buffering to reduce power in processors for signal processingIEEE Trans. Very Large Scale Integr. Syst., 5
(1996)
The split temporal/spatial cache: A complexity analysis
Toni Juan, T. Lang, J. Navarro (1997)
Reducing TLB power requirementsProceedings of 1997 International Symposium on Low Power Electronics and Design
A. Agarwal, S. Pudar (1993)
Column-associative Caches: A Technique For Reducing The Miss Rate Of Direct-mapped CachesProceedings of the 20th Annual International Symposium on Computer Architecture
Volker Schöber, Steffen Paul, Olivier Picot (2001)
Memory built-in self-repair using redundant wordsProceedings International Test Conference 2001 (Cat. No.01CH37260)
L. John, Akila Subramanian (1997)
Design and performance evaluation of a cache assist to implement selective cachingProceedings International Conference on Computer Design VLSI in Computers and Processors
(1999)
MoSys explains 1T-SRAM technology
P. Grun, N. Dutt, A. Nicolau (2001)
Access pattern based local memory customization for low power embedded systemsProceedings Design, Automation and Test in Europe. Conference and Exhibition 2001
U. Ko, P. Balsara, Ashwini Nanda (1998)
Energy optimization of multilevel cache architectures for RISC and CISC processorsIEEE Trans. Very Large Scale Integr. Syst., 6
N. Jouppi (1990)
Improving direct-mapped cache performance by the addition of a small fully-associative cache and pre
H. Takasu (2001)
Ferroelectric memories and their applicationsMicroelectronic Engineering, 59
Michael Powell, Se-Hyun Yang, B. Falsafi, K. Roy, T. Vijaykumar (2001)
Reducing leakage in a high-performance deep-submicron instruction cacheIEEE Trans. Very Large Scale Integr. Syst., 9
Jun Yang, Youtao Zhang, Rajiv Gupta (2000)
Frequent value compression in data cachesProceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000
P. Panda, N. Dutt, A. Nicolau (1999)
Memory Issues in Embedded Systems-on-Chip
H. Lee, G. Tyson (2000)
Region-based caching: an energy-delay efficient memory architecture for embedded processors
S. Liao, S. Devadas, K. Keutzer (1995)
Code density optimization for embedded DSP processors using data compression techniquesProceedings Sixteenth Conference on Advanced Research in VLSI
André Seznec (1993)
Acase For Two-way Skewed-associative CachesProceedings of the 20th Annual International Symposium on Computer Architecture
Y. Yoshida, Bao-Yu Song, H. Okuhata, T. Onoye, I. Shirakawa (1997)
An object code compression approach to embedded processorsProceedings of 1997 International Symposium on Low Power Electronics and Design
S. Walsh, J. Board (1995)
Pollution control cachingProceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors
T. Ishihara, H. Yasuura (2000)
A power reduction technique with object code merging for application specific embedded processorsProceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537)
K. Mai, Toshihiko Mori, B. Amrutur, Ron Ho, Bennett Wilburn, M. Horowitz, I. Fukushi, T. Izawa, Shin Mitara (1998)
Low-power SRAM design using half-swing pulse-mode techniquesIEEE J. Solid State Circuits, 33
Virage Logic The STAR Memory System, www.viragelogic.com
Brian Fisk, R. Bahar (1999)
The non-critical buffer: using load latency tolerance to improve data cache efficiencyProceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040)
L. Benini, A. Macii, E. Macii, M. Poncino (1999)
Selective instruction compression for memory energy reduction in embedded systemsProceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477)
(1999)
Modeling memory organizations for the synthesis of low power systems
L. Nachtergaele, F. Catthoor, C. Kulkarni (2001)
Random-access data storage components in customized architecturesIEEE Design & Test of Computers, 18
R. Rajsuman (2001)
Design and Test of Large Embedded Memories: An OverviewIEEE Des. Test Comput., 18
P. Panda, F. Catthoor, N. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, Arnout Vandecappelle, P. Kjeldsberg (2001)
Data and memory optimization techniques for embedded systemsACM Trans. Design Autom. Electr. Syst., 6
B. Prince (1983)
Semiconductor Memories
Wen-Tsong Shiue, C. Chakrabarti (1999)
Memory exploration for low power, embedded systemsProceedings 1999 Design Automation Conference (Cat. No. 99CH36361)
N. Lu, H. Chao (1984)
Half-V/SUB DD/ bit-line sensing scheme in CMOS DRAMsIEEE Journal of Solid-state Circuits, 19
K. Agawa, H. Hara, T. Takayanagi, T. Kuroda (2001)
A bitline leakage compensation scheme for low-voltage SRAMsIEEE J. Solid State Circuits, 36
N. Jouppi (1990)
Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers[1990] Proceedings. The 17th Annual International Symposium on Computer Architecture
A. Chandrakasan, W. Bowhill, F. Fox (2001)
Design of High-Performance Microprocessor Circuits
R. Bahar, G. Albera, Srilatha Manne (1998)
Power and performance tradeoffs using various caching strategiesProceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379)
H. Lekatsas, J. Henkel, W. Wolf (2000)
Code compression for low power embedded system designProceedings 37th Design Automation Conference
SeznecAndré (1993)
A case for two-way skewed-associative cachesACM Sigarch Computer Architecture News
M. Borgatti, A. Rocchi, Marco Bisio, M. Besana, L. Navoni, P. Rolandi (2000)
A 64 min single-chip voice recorder/player using embedded 4 bit/cell flash memoryProceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)
S. Clerc, D. Dufourt, L. Zangara (1999)
High flexibility CMOS SRAM generator using multiplan architectureTwelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454)
B. Amrutur, M. Horowitz (2000)
Speed and power scaling of SRAM'sIEEE Journal of Solid-State Circuits, 35
P. Lala (1995)
Self-Checking and Fault-Tolerant Digital Design
Y. Zorian (2000)
Yield improvement and repair trade-off for large embedded memoriesProceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537)
S. Coumeri, D. Thomas (1998)
Memory modeling for system synthesisProceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379)
Ching-Long Su, A. Despain (1995)
Cache design trade-offs for power and performance optimization: a case study
M. Horiguchi, M. Aoki, J. Etoh, K. Itoh, K. Kajigaya, A. Nozoe, T. Matsumoto (1991)
Dual-Regulator Dual-Decoding-Trimmer DRAM Voltage Limiter For Burn-in Test1991 Symposium on VLSI Circuits
B. Amrutur, M. Horowitz (1998)
A replica technique for wordline and sense control in low-power SRAM'sIEEE J. Solid State Circuits, 33
D. Burger, J. Goodman, A. Kägi (1997)
Limited bandwidth to affect processor designIEEE Micro, 17
O. Minato, T. Masuhara, T. Sasaki, Y. Sakai, T. Hayashida (1984)
A 20ns 64K CMOS SRAM1984 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, XXVII
M. Takahashi, T. Nishikawa, M. Hamada, T. Takayanagi, H. Arakida, N. Machida, H. Yamamoto, T. Fujiyoshi, Y. Ohashi, O. Yamagishi, T. Samata, A. Asano, T. Terazawa, K. Ohmori, Y. Watanabe, H. Nakamura, S. Minami, T. Kuroda, T. Furuyama (2000)
A 60-MHz 240-mW MPEG-4 videophone LSI with 16-Mb embedded DRAMIEEE Journal of Solid-State Circuits, 35
F. Nemati, J. Plummer (1998)
A novel high density, low voltage SRAM cell with a vertical NDR device1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216)
K. Itoh, K. Sasaki, Y. Nakagome (1994)
Trends in low-power RAM circuit technologiesProceedings of 1994 IEEE Symposium on Low Power Electronics
Masafumi Takahashi, T. Nishikawa, M. Hamada, T. Takayanagi, H. Arakida, N. Machida, H. Yamamoto, T. Fujiyoshi, Y. Ohashi, O. Yamagishi, T. Samata, A. Asano, T. Terazawa, K. Ohmori, Yoshinori Watanabe, Hiroki Nakamura, S. Minami, T. Kuroda, T. Furuyama (2000)
A 60 MHz 240 mW MPEG-4 video-phone LSI with 16 Mb embedded DRAM2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056)
P. Panda, N. Dutt, A. Nicolau (2000)
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systemsACM Trans. Design Autom. Electr. Syst., 5
K. Itoh (1989)
Trends in megabit DRAM circuit designInternational Symposium on VLSI Technology, Systems and Applications,
M. White, D. Adams, J. Bu (2000)
On the go with SONOSIEEE Circuits & Devices, 16
(1984)
A 20ns 64K CMOS RAM. ISSCC Dig. Tech. Papers (Feb.)
P. Cappelletti, C. Golla (1999)
Flash Memories
K. Strauss, T. Daud (2000)
Overview of radiation tolerant unlimited write cycle non-volatile memory2000 IEEE Aerospace Conference. Proceedings (Cat. No.00TH8484), 5
Hitoshi Tanaka, M. Aoki, J. Etoh, M. Horiguchi, K. Itoh, K. Kajigaya, T. Matsumoto (1993)
Stabilization of Voltage Limiter Circuit for High‐Density DRAMs Using Miller CompensationElectronics and Communications in Japan Part Ii-electronics, 76
Antonio González, Carlos Aliagas, M. Valero (1995)
A data cache with multiple caching strategies tuned to different types of locality
(2003)
ACM Transactions on Embedded Computing Systems Energy-Aware Design @BULLET
J. Kin, Munish Gupta, W. Mangione-Smith (1997)
The filter cache: an energy efficient memory structureProceedings of 30th Annual International Symposium on Microarchitecture
N. Kawabe, K. Usami (2000)
Low-power technique for on-chip memory using biased partitioning and access concentrationProceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)
D. Burger, J. Goodman (1998)
Hardware techniques to improve the performance of the processor/memory interface
W. Tang, Rajesh Gupta, A. Nicolau (2002)
Power savings in embedded processors through decode filter cacheProceedings 2002 Design, Automation and Test in Europe Conference and Exhibition
P. Panda, A. Nicolau, N. Dutt (1998)
Memory Issues in Embedded Systems-on-Chip: Optimizations and Exploration
Embedded systems are often designed under stringent energy consumption budgets, to limit heat generation and battery size. Since memory systems consume a significant amount of energy to store and to forward data, it is then imperative to balance power consumption and performance in memory system design. Contemporary system design focuses on the trade-off between performance and energy consumption in processing and storage units, as well as in their interconnections. Although memory design is as important as processor design in achieving the desired design objectives, the former topic has received less attention than the latter in the literature. This article centers on one of the most outstanding problems in chip design for embedded applications. It guides the reader through different memory technologies and architectures, and it reviews the most successful strategies for optimizing them in the power/performance plane.
ACM Transactions on Embedded Computing Systems (TECS) – Association for Computing Machinery
Published: Feb 1, 2003
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.