-
Mark
Lundstrom,
Jing
Guo
(2005)
Nanoscale Transistors: Device Physics, Modeling and Simulation
-
P.
Roth,
C.
Jacobi,
Kai
Weber
(2011)
Superprocessors and Supercomputers
-
Sravan
Marella,
Sanjay
Kumar,
S.
Sapatnekar
(2012)
A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
-
K.
Lu,
Xuefeng
Zhang,
Suk-kyu
Ryu,
J.
Im,
Rui
Huang,
P.
Ho
(2009)
Thermo-mechanical reliability of 3-D ICs containing through silicon vias
2009 59th Electronic Components and Technology Conference
-
V.
Pavlidis,
E.
Friedman
(2006)
3-D Topologies for Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15
-
C.
Cassidy,
J.
Kraft,
S.
Carniello,
F.
Roger,
H.
Ceric,
A.
Singulani,
E.
Langer,
F.
Schrank
(2012)
Through Silicon Via Reliability
IEEE Transactions on Device and Materials Reliability, 12
-
Charles
Addo-Quaye
(2005)
Thermal-aware mapping and placement for 3-D NoC designs
Proceedings 2005 IEEE International SOC Conference
-
Suk-kyu
Ryu,
K.
Lu,
Tengfei
Jiang,
J.
Im,
Rui
Huang,
Paul
Ho
(2012)
Effect of Thermal Stresses on Carrier Mobility and Keep-Out Zone Around Through-Silicon Vias for 3-D Integration
IEEE Transactions on Device and Materials Reliability, 12
-
C.
Demetrescu,
Michael
Kaufmann,
S.
Kobourov,
Petra
Mutzel
(1998)
1 Executive summary
-
A.
Rahmani,
Kameswar
Vaddina,
Khalid
Latif,
P.
Liljeberg,
J.
Plosila,
H.
Tenhunen
(2012)
Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip
IET Circuits Devices Syst., 6
-
Montek
Singh,
S.
Nowick
(2010)
ACM Journal on Emerging Technologies in Computing Systems
ACM Trans. Design Autom. Electr. Syst., 16
-
V.
Pasca,
L.
Anghel,
C.
Rusu,
M.
Benabdenbi
(2010)
Configurable serial fault-tolerant link for communication in 3D integrated systems
2010 IEEE 16th International On-Line Testing Symposium
-
Xuejun
Yang,
Zhiyuan
Wang,
Jingling
Xue,
Yun
Zhou
(2012)
The Reliability Wall for Exascale Supercomputing
IEEE Transactions on Computers, 61
-
B.
Wu,
X.
Gu,
L.
Tsang,
M.
Ritter
(2011)
Electromagnetic modeling of massively coupled through silicon vias for 3D interconnects
Microwave and Optical Technology Letters, 53
-
S.
Borkar
(2010)
The Exascale challenge
Proceedings of 2010 International Symposium on VLSI Design, Automation and Test
-
Ashkan
Eghbal,
Pooria,
M.
Yaghini,
Pedram,
H
Zarandi,
Pooria
Yaghini,
H.
Pedram,
H.
Zarandi
Please Scroll down for Article International Journal of Electronics Designing Fault-tolerant Network-on-chip Router Architecture Designing Fault-tolerant Network-on-chip Router Architecture
-
Pooria
Yaghini,
Ashkan
Eghbal,
H.
Pedram,
H.
Zarandi
(2010)
Investigation of Transient Fault Effects in an Asynchronous NoC Router
2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing
-
C.
Selvanayagam,
J.
Lau,
Xiaowu
Zhang,
S.K.W.
Seah,
K.
Vaidyanathan,
T.
Chai
(2009)
Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps
IEEE Transactions on Advanced Packaging, 32
-
Daehyun
Kim,
S.
Lim
(2012)
Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2
-
Atefe
Dalirsani,
Mohammad
Hosseinabady,
Z.
Navabi
(2007)
An Analytical Model for Reliability Evaluation of NoC Architectures
13th IEEE International On-Line Testing Symposium (IOLTS 2007)
-
Florentine
Dubois,
Abbas
Sheibanyrad,
F.
Pétrot,
M.
Bahmani
(2013)
Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs
IEEE Transactions on Computers, 62
-
N.
Ranganathan,
K.
Prasad,
N.
Balasubramanian,
K.
Pey
(2008)
A study of thermo-mechanical stress and its impact on through-silicon vias
Journal of Micromechanics and Microengineering, 18
-
Moongon
Jung,
Joydeep
Mitra,
D.
Pan,
S.
Lim
(2011)
TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31
-
Chang
Liu,
Taigon
Song,
Jonghyun
Cho,
Joohee
Kim,
Joungho
Kim,
S.
Lim
(2011)
Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
-
G.
Plas,
P.
Limaye,
Igor
Loi,
A.
Mercha,
H.
Oprins,
C.
Torregiani,
S.
Thijs,
D.
Linten,
M.
Stucchi,
G.
Katti,
D.
Velenis,
V.
Cherman,
B.
Vandevelde,
V.
Simons,
I.
Wolf,
R.
Labie,
D.
Perry,
S.
Bronckers,
N.
Minas,
Miro
Cupac,
W.
Ruythooren,
J.
Olmen,
A.
Phommahaxay,
M.
Broeck,
A.
Opdebeeck,
M.
Rakowski,
B.
Wachter,
M.
Dehan,
M.
Nelis,
R.
Agarwal,
A.
Pullini,
F.
Angiolini,
L.
Benini,
W.
Dehaene,
Y.
Travaly,
E.
Beyne,
P.
Marchal
(2010)
Design Issues and Considerations for Low-Cost 3-D TSV IC Technology
IEEE Journal of Solid-State Circuits, 46
-
Daehyun
Kim,
K.
Athikulwongse,
S.
Lim
(2009)
A study of Through-Silicon-Via impact on the 3D stacked IC layout
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers
-
Jun
Bahn,
N.
Bagherzadeh
(2008)
A Generic Traffic Model for On-Chip Interconnection Networks
-
Xi
Liu,
Qiao
Chen,
P.
Dixit,
R.
Chatterjee,
R.
Tummala,
S.
Sitaraman
(2009)
Failure mechanisms and optimum design for electroplated copper Through-Silicon Vias (TSV)
2009 59th Electronic Components and Technology Conference
-
S.
Fujita,
K.
Nomura,
K.
Abe,
T.
Lee
(2006)
3D on-chip networking technology based on post-silicon devices for future networks-on-chip
2006 1st International Conference on Nano-Networks and Workshops
-
G.
Plas,
P.
Limaye,
A.
Mercha,
H.
Oprins,
C.
Torregiani,
S.
Thijs,
D.
Linten,
M.
Stucchi,
G.
Katti,
D.
Velenis,
Domae
Shinichi,
V.
Cherman,
B.
Vandevelde,
V.
Simons,
I.
Wolf,
R.
Labie,
D.
Perry,
S.
Bronckers,
N.
Minas,
Miro
Cupac,
W.
Ruythooren,
J.
Olmen,
A.
Phommahaxay,
M.
Broeck,
A.
Opdebeeck,
M.
Rakowski,
B.
Wachter,
M.
Dehan,
M.
Nelis,
R.
Agarwal,
W.
Dehaene,
Y.
Travaly,
P.
Marchal,
E.
Beyne
(2010)
Design issues and considerations for low-cost 3D TSV IC technology
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
-
Yuanqing
Cheng,
Lei
Zhang,
Yinhe
Han,
Xiaowei
Li
(2013)
Thermal-Constrained Task Allocation for Interconnect Energy Reduction in 3-D Homogeneous MPSoCs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21
-
A.
Mercha,
G.
Plas,
V.
Moroz,
I.
Wolf,
P.
Asimakopoulos,
N.
Minas,
S.
Domae,
D.
Perry,
Munkang
Choi,
A.
Redolfi,
C.
Okoro,
Y.
Yang,
J.
Olmen,
S.
Thangaraju,
D.
Tezcan,
P.
Soussan,
J.
Cho,
A.
Yakovlev,
P.
Marchal,
Y.
Travaly,
E.
Beyne,
S.
Biesemans,
B.
Swinnen
(2010)
Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k / metal gate CMOS performance
2010 International Electron Devices Meeting
-
K.
Tu
(2011)
Reliability challenges in 3D IC packaging technology
Microelectron. Reliab., 51
-
D.
Brooks,
R.
Dick,
R.
Joseph,
L.
Shang
(2007)
Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors
IEEE Micro, 27
-
Article 43, Pub. date: April 2015. Analytical Reliability Analysis of 3D NoC under TSV Failure