Access the full text.
Sign up today, get DeepDyve free for 14 days.
Yang-Kyu Choi, N. Lindert, Peiqi Xuan, Stephen Tang, Daewon Ha, E. Anderson, T. King, J. Bokor, C. Hu (2001)
Sub-20 nm CMOS FinFET technologiesInternational Electron Devices Meeting. Technical Digest (Cat. No.01CH37224)
Olivier Thomas, Brian Zimmer, Bertrand Pelloux-Prayer, Nicolas Planes, Kaya Can Akyel (2012)
6T SRAM design for wide voltage range in 28nm FDSOIProceedings of the IEEE International SOI Conference (SOI'12).
John G. Maneatis (1996)
Low-jitter process-independent DLL and PLL based on self-biased techniquesIEEE J. Solid-State Circ., 31
J. Altet, A. Rubio, E. Schaub, S. Dilhaire, W. Claeys (2001)
Thermal coupling in integrated circuits: application to thermal testingIEEE J. Solid State Circuits, 36
J. Rabaey, A. Chandrakasan, B. Nikolić (2003)
Digital Integrated Circuits
I. Anghel, T. Cioara, I. Salomie, G. Copil, D. Moldovan, C. Pop (2011)
Dynamic frequency scaling algorithms for improving the CPU's energy efficiency2011 IEEE 7th International Conference on Intelligent Computer Communication and Processing
Peiqi Xuan, Min She, B. Harteneck, A. Liddle, J. Bokor, T. King (2003)
FinFET SONOS flash memory for embedded applicationsIEEE International Electron Devices Meeting 2003
D. Truong, Wayne Cheng, T. Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine Watnik, P. Mejia, A. Tran, Jeremy Webb, Eric Work, Zhibin Xiao, B. Baas (2008)
A 167-processor 65 nm computational platform with per-processor dynamic supply voltage and dynamic clock frequency scaling2008 IEEE Symposium on VLSI Circuits
H. Singh, K. Agarwal, D. Sylvester, K. Nowka (2007)
Enhanced Leakage Reduction Techniques Using Intermediate Strength Power GatingIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15
Leland Chang, D. Frank, R. Montoye, S. Koester, B. Ji, P. Coteus, R. Dennard, W. Haensch (2010)
Practical Strategies for Power-Efficient Computing TechnologiesProceedings of the IEEE, 98
J. Xiang, Wei-Yuen Lu, Yongjie Hu, Yue Wu, Hao Yan, Charles Lieber (2006)
Ge/Si nanowire heterostructures as high-performance field-effect transistorsNature, 441
A. Coskun, Tajana Simunic, K. Whisnant, K. Gross (2008)
Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCsIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16
N. Harada, K. Yagi, Shintaro Sato, N. Yokoyama (2010)
A polarity-controllable graphene inverterApplied Physics Letters, 96
R. Staszewski, J. Wallberg, S. Rezeq, C. Hung, O. Eliezer, S. Vemulapalli, C. Fernando, K. Maggio, R. Staszewski, N. Barton, Meng-Chang Lee, P. Cruise, Mitch Entezari, K. Muhammad, D. Leipold (2005)
All-digital PLL and transmitter for mobile phonesIEEE Journal of Solid-State Circuits, 40
Hao Yan, H. Choe, Sungwoo Nam, Yongjie Hu, Shamik Das, J. Klemic, J. Ellenbogen, Charles Lieber (2011)
Programmable nanowire circuits for nanoprocessorsNature, 470
S. Reynolds (1997)
A DC-DC converter for short-channel CMOS technologiesIEEE J. Solid State Circuits, 32
Sarunya Bangsaruntip, Guy M. Cohen, Amlan Majumdar, Ying Zhang, S. U. Engelmann (2009)
High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scalingProceedings of the IEEE International Electron Devices Meeting (IEDM'09).
Edith Beigné, Fabien Clermidy, Sylvain Miermont, Alexandre Valentian, Pascal Vivet (2008)
A fully integrated power supply unit for fine grain power management application to embedded low voltage SRAMsProceedings of the European Solid-State Circuits Conference (ESSCIRC'08).
D. Puschini, F. Clermidy, P. Benoit, G. Sassatelli, L. Torres (2008)
Temperature-Aware Distributed Run-Time Optimization on MP-SoC Using Game Theory2008 IEEE Computer Society Annual Symposium on VLSI
C. Kyung, S. Yoo (2011)
Energy-Aware System Design: Algorithms and Architectures
D. Blaauw, Sudherssen Kalaiselvan, Kevin Lai, Wei-Hsiang Ma, Sanjay Pant, Carlos Tokunaga, Shidhartha Das, David Bull (2008)
Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers
E. Beigné, F. Clermidy, Sylvain Miermont, A. Valentian, P. Vivet, S. Barasinski, F. Blisson, N. Kohli, S. Kumar (2008)
A fully integrated power supply unit for fine grain power management application to embedded Low Voltage SRAMsESSCIRC 2008 - 34th European Solid-State Circuits Conference
K. Nose, T. Sakurai (2000)
Analysis and future trend of short-circuit powerIEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 19
C. Kyung, S. Yoo (2011)
Energy-aware system design
Edith Beigné, Fabien Clermidy, Helene Lhermet, Sylvain Miermont, Yvain Thonnart (2009)
An asynchronous power aware and adaptive NoC based circuitIEEE J. Solid-State Circ., 44
Jian Zhang, P. Gaillardon, G. Micheli (2013)
Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs2013 IEEE International Symposium on Circuits and Systems (ISCAS2013)
Q. Liu, A. Yagishita, N. Loubet, A. Khakifirooz, P. Kulkarni, T. Yamamoto, K. Cheng, M. Fujiwara, J. Cai, D. Dorman, S. Mehta, P. Khare, K. Yako, Y. Zhu, S. Mignot, S. Kanakasabapathy, S. Monfray, F. Boeuf, C. Koburger, H. Sunamura, S. Ponoth, A. Reznicek, B. Haran, A. Upham, R. Johnson, L. Edge, J. Kuss, T. Levin, N. Berliner, E. Leobandung, T. Skotnicki, M. Hane, H. Bu, K. Ishimaru, W. Kleemeier, M. Takayanagi, B. Doris, R. Sampson (2010)
Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond2010 Symposium on VLSI Technology
O. Thomas, B. Zimmer, B. Pelloux-Prayer, N. Planes, K. Akyel, L. Ciampolini, P. Flatresse, B. Nikolić (2012)
6T SRAM design for wide voltage range in 28nm FDSOI2012 IEEE International SOI Conference (SOI)
Jean-Philippe Noel, Olivier Thomas, M. Jaud, Olivier Weber, Thierry Poiroux, C. Fenouillet-Béranger, Pierrette Rivallin, P. Scheiblin, François Andrieu, Maud Vinet, O. Rozeau, F. Boeuf, O. Faynot, A. Amara (2011)
Multi-$V_{T}$ UTBB FDSOI Device Architectures for Low-Power CMOS CircuitIEEE Transactions on Electron Devices, 58
A. Fijany, F. Vatan, Mohammad Mojarradi, N. Toomarian, Benjamin Blalock, K. Akarvardar, Sorin Cristoloveanu, Pierre Gentil (2009)
Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis2009 Design, Automation & Test in Europe Conference & Exhibition
Sanjay Pant, David Blaauw (2008)
Circuit techniques for suppression and measurement of on-chip inductive supply noiseProceedings of the European Solid-State Circuits Conference (ESSCC'08).
M. Marchi, D. Sacchetto, Stefano Frache, Jian Zhang, P. Gaillardon, Yusuf Leblebici, G. Micheli (2012)
Polarity control in double-gate, gate-all-around vertically stacked silicon nanowire FETs2012 International Electron Devices Meeting
Guihua Yu, Charles Lieber (2010)
Assembly and integration of semiconductor nanowires for functional nanosystemsPure and Applied Chemistry, 82
S. Bangsaruntip, Guy Cohen, Amlan Majumdar, Ying Zhang, Sebastian Engelmann, Nicholas Fuller, L. Gignac, S. Mittal, J. Newbury, M. Guillorn, T. Barwicz, Lidija Sekaric, Martin Frank, Jeffrey Sleight (2009)
High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling2009 IEEE International Electron Devices Meeting (IEDM)
K. Bowman, S. Duvall, J. Meindl (2002)
Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integrationIEEE J. Solid State Circuits, 37
K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, Koji Ishii, Tuyet Nguyen, J. Burns (2002)
A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scalingIEEE J. Solid State Circuits, 37
Robin Wilson, E. Beigné, P. Flatresse, A. Valentian, F. Abouzeid, T. Benoist, C. Bernard, Sebastien Bernard, O. Billoint, S. Clerc, B. Giraud, Anuj Grover, Julien Coz, I. Panades, J. Noël, B. Pelloux-Prayer, P. Roche, O. Thomas, Y. Thonnart, D. Turgis, F. Clermidy, P. Magarshack (2014)
A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
Chia-Hong Jan, U. Bhattacharya, R. Brain, S. Choi, G. Curello, G. Gupta, Walid Hafez, M. Jang, M. Kang, K. Komeyli, T. Leo, N. Nidhi, L. Pan, Park Joodong, K. Phoa, Arifur Rahman, C. Staus, H. Tashiro, Tsai Curtis, P. Vandervoorn, Laurence Yang, J. Yeh, P. Bai (2012)
A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications2012 International Electron Devices Meeting
O. Turkyilmaz, F. Clermidy, L. Amarù, P. Gaillardon, G. Micheli (2013)
Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FET2013 IEEE International Symposium on Circuits and Systems (ISCAS2013)
K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, Huichu Liu, J. Maiz, B. Mclntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastián, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K. Zawadzki (2007)
A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging2007 IEEE International Electron Devices Meeting
T. Ernst (2013)
Controlling the Polarity of Silicon Nanowire TransistorsScience, 340
B. Rebaud, M. Belleville, E. Beigné, C. Bernard, M. Robert, P. Maurine, N. Azémard (2011)
Timing slack monitoring under process and environmental variations: Application to a DSP performance optimizationMicroelectron. J., 42
Jianli Zhuo, C. Chakrabarti (2005)
System-level energy-efficient dynamic task schedulingProceedings. 42nd Design Automation Conference, 2005.
S. Lesecq, D. Puschini, E. Beigné, P. Vivet, Y. Akgul (2011)
Low-Cost and Robust Control of a DFLL for Multi-Processor System-on-ChipIFAC Proceedings Volumes, 44
Tejaswini Kolpe, Antonia Zhai, Sachin S. Sapatnekar (2011)
Enabling improved power management in multicore processors through clustered DVFSProceedings of the Design
J. Yuan, C. Svensson (1997)
New single-clock CMOS latches and flipflops with improved speed and power savingsIEEE J. Solid State Circuits, 32
Ali Khaki-Firooz, K. Cheng, Qing Liu, T. Nagumo, N. Loubet, A. Reznicek, J. Kuss, J. Gimbert, R. Sreenivasan, M. Vinet, L. Grenouillet, Y. Tiec, R. Wacquez, Z. Ren, J. Cai, D. Shahrjerdi, P. Kulkarni, S. Ponoth, S. Luning, B. Doris (2012)
Extremely thin SOI for system-on-chip applicationsProceedings of the IEEE 2012 Custom Integrated Circuits Conference
M. Elgebaly, M. Sachdev (2007)
Variation-Aware Adaptive Voltage Scaling SystemIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15
Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, D. Blaauw (2009)
RazorII: In Situ Error Detection and Correction for PVT and SER ToleranceIEEE Journal of Solid-State Circuits, 44
W. Wolf, A. Jerraya, G. Martin (2008)
Multiprocessor System-on-Chip (MPSoC) TechnologyIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27
Robin Wilson, Edith Beigne, Philippe Flatresse, Alexandre Valentian, Fady Abouzeid (2014)
A 460MHz at 397mV, 2Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC'14).
N. Planes, Olivier Weber, V. Barral, S. Haendler, D. Noblet, D. Croain, M. Bocat, P. Sassoulas, Xavier Federspiel, Antoine Cros, A. Bajolet, E. Richard, B. Dumont, P. Perreau, David Petit, D. Golanski, C. Fenouillet-Béranger, N. Guillot, M. Rafik, Vincent Huard, S. Puget, X. Montagner, M. Jaud, O. Rozeau, O. Saxod, F. Wacquant, F. Monsieur, D. Barge, L. Pinzelli, M. Mellier, F. Boeuf, F. Arnaud, Michel Haond (2012)
28nm FDSOI technology platform for high-speed low-voltage digital applications2012 Symposium on VLSI Technology (VLSIT)
A Survey on Low-Power Techniques with Emerging Technologies
L. Bera, H. Nguyen, N. Singh, T. Liow, D.X. Huang, K. Hoe, C. Tung, W. Fang, S. Rustagi, Y. Jiang, G. Lo, N. Balasubramanian, D. Kwong (2006)
Three Dimensionally Stacked SiGe Nanowire Array and Gate-All-Around p-MOSFETs2006 International Electron Devices Meeting
Tejaswini Kolpe, Antonia Zhai, S. Sapatnekar (2010)
Enabling improved power management in multicore processors through clustered DVFS2011 Design, Automation & Test in Europe
T. Burd, T. Pering, A. Stratakos, R. Brodersen (2000)
A dynamic voltage scaled microprocessor systemIEEE Journal of Solid-State Circuits, 35
Andrew Zukoski, Xuebei Yang, K. Mohanram (2011)
Universal logic modules based on double-gate carbon nanotube transistors2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
Xifan Tang, Jian Zhang, P. Gaillardon, G. Micheli (2014)
TSPC Flip-Flop circuit design with three-independent-gate silicon nanowire FETs2014 IEEE International Symposium on Circuits and Systems (ISCAS)
Cecilia Dupre, Arnaud Hubert, S. Bécu, M. Jublot, V. Maffini-Alvaro, C. Vizioz, F. Aussenac, C. Arvet, S. Barnola, J. Hartmann, G. Garnier, F. Allain, J. Colonna, Maurice Rivoire, L. Baud, S. Pauliac, V. Loup, Thierry Chevolleau, P. Rivallin, B. Guillaumot, Gérard Ghibaudo, O. Faynot, Thomas Ernst, S. Deleonibus (2008)
15nm-diameter 3D stacked nanowires with independent gates operation: ΦFET2008 IEEE International Electron Devices Meeting
K. Bernstein, R. Cavin, W. Porod, A. Seabaugh, J. Welser (2010)
Device and Architecture Outlook for Beyond CMOS SwitchesProceedings of the IEEE, 98
Thomas Burd, Trevor Pering, Anthony Stratakos, Robert Brodersen (2000)
A dynamic voltage scaled microprocessor systemProceedings of the International Solid-State Circuits Conference (ISSCC'00).
B. Kolarić, H. Vandeparre, S. Desprez, R. Vallée, P. Damman (2010)
In situ tuning the optical properties of a cavity by wrinklingApplied Physics Letters, 96
L. Vincent, P. Maurine, S. Lesecq, E. Beigné (2012)
Embedding statistical tests for on-chip dynamic voltage and temperature monitoringDAC Design Automation Conference 2012
P. Gaillardon, L. Amarù, Jian Zhang, G. Micheli (2014)
Advanced system on a chip design based on controllable-polarity FETs2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
L. Amarù, P. Gaillardon, Jian Zhang, G. Micheli (2013)
Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity TransistorsIEEE Transactions on Circuits and Systems II: Express Briefs, 60
Nicolas Planes, Oliver Weber, V. Barral, S. Haendler, D. Noblet (2012)
28nm FDSOI technology platform for high-speed low-voltage digital applicationsProceedings of the Symposium on VLSI Technology (VLSIT'12).
V. Venkatachalam, M. Franz (2005)
Power reduction techniques for microprocessor systemsACM Comput. Surv., 37
E. Beigné, F. Clermidy, H. Lhermet, S. Miermont, Y. Thonnart, Xuan-Tu Tran, A. Valentian, D. Varreau, P. Vivet, X. Popon, H. Lebreton (2009)
An asynchronous power aware and adaptive NoC based circuit2008 IEEE Symposium on VLSI Circuits
J. Maneatis (1996)
Low-jitter and process independent DLL and PLL based on self biased techniques1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC
M. Javidan, Eldar Zianbetov, F. Anceau, D. Galayko, A. Korniienko, É. Colinet, G. Scorletti, J. Akré, J. Juillard (2011)
All-digital PLL array provides reliable distributed clock for SOCs2011 IEEE International Symposium of Circuits and Systems (ISCAS)
N. Kim, T. Austin, D. Blaauw, T. Mudge, K. Flautner, Jie Hu, M. Irwin, M. Kandemir, N. Vijaykrishnan (2003)
Leakage Current: Moore's Law Meets Static PowerComputer, 36
Y. Akgul, D. Puschini, S. Lesecq, I. Miro-Panadès, P. Benoit, L. Torres, E. Beigné (2012)
Power mode selection in embedded systems with performance constraints2012 IEEE Faible Tension Faible Consommation
Sanjay Pant, D. Blaauw (2008)
Circuit techniques for suppression and measurement of on-chip inductive supply noiseESSCIRC 2008 - 34th European Solid-State Circuits Conference
A. Heinzig, S. Slesazeck, F. Kreupl, T. Mikolajick, W. Weber (2012)
Reconfigurable silicon nanowire transistors.Nano letters, 12 1
K. Kuhn (2011)
CMOS scaling for the 22nm node and beyond: Device physics and technologyProceedings of 2011 International Symposium on VLSI Technology, Systems and Applications
M. Haykel Ben Jamaa, Kartik Mohanram, Giovanni De Micheli (2009)
Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesisProceedings of the Design
W. Fang, N. Singh, Lakshmi Bera, H. Nguyen, S. Rustagi, G. Lo, Narayanan Balasubramanian, D. Kwong (2007)
Vertically Stacked SiGe Nanowire Array Channel CMOS TransistorsIEEE Electron Device Letters, 28
Chris Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier (2012)
A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitorsProceedings of the Symposium on VLSI Technology (VLSIT'12).
T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, Thomas Hoffmann, K. Johnson, C. Kenyon, Jason Klaus, B. Mcintyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, Scott Thompson, M. Bohr (2003)
A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistorsIEEE International Electron Devices Meeting 2003
T. Seki, S. Akui, K. Seno, M. Nakai, T. Meguro, Tetsuo Kondo, A. Hashiguchi, Hirokazu Kawahara, K. Kumano, M. Shimura (2005)
Dynamic voltage and frequency management for a low-power embedded microprocessor2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519)
Montek Singh, S. Nowick (2010)
ACM Journal on Emerging Technologies in Computing SystemsACM Trans. Design Autom. Electr. Syst., 16
Yiming Li, Chih-Hong Hwang, Tien-Yeh Li, Ming-Hung Han (2010)
Process-Variation Effect, Metal-Gate Work-Function Fluctuation, and Random-Dopant Fluctuation in Emerging CMOS TechnologiesIEEE Transactions on Electron Devices, 57
H. Mahmoodi, V. Tirumalashetty, M. Cooke, K. Roy (2009)
Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock GatingIEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17
Takashi Matsukawa, Kazuhiko Endo, Yongxun Liu, Shinichi Ouchi, Meishoku Masahara (2008)
Dual metal gate FinFET integration by Ta/Mo diffusion technology for Vt reduction and multi-Vt CMOS applicationProceedings of the European Solid-State Device Research Conference (ESSDERC'08).
K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand (2003)
Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuitsProc. IEEE, 91
K. Onizuka, T. Sakurai (2005)
VDD-Hopping Accelerator for On-Chip Power Supplies Achieving Nano-Second Order Transient Time2005 IEEE Asian Solid-State Circuits Conference
K. Moselund, Mikael Björk, Heinz Schmid, H. Ghoneim, Siegfried Karg, E. Lörtscher, W. Riess, Heike Riel (2011)
Silicon Nanowire Tunnel FETs: Low-Temperature Operation and Influence of High- $k$ Gate DielectricIEEE Transactions on Electron Devices, 58
E. Beigné, A. Valentian, B. Giraud, O. Thomas, T. Benoist, Y. Thonnart, S. Bernard, G. Moritz, O. Billoint, Y. Maneglia, P. Flatresse, J. Noel, F. Abouzeid, B. Pelloux-Prayer, Anuj Grover, S. Clerc, P. Roche, Julien Coz, S. Engels, Robin Wilson (2013)
Ultra-Wide Voltage Range designs in Fully-Depleted Silicon-On-Insulator FETs2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
S. Herbert, Diana Marculescu (2009)
Variation-aware dynamic voltage/frequency scaling2009 IEEE 15th International Symposium on High Performance Computer Architecture
T. Kuroda, Kojiro Suzuki, S. Mita, T. Fujita, F. Yamane, Fumihiko Sano, A. Chiba, Yoshinori Watanabe, Koji Matsuda, T. Maeda, T. Sakurai, T. Furuyama (1998)
Variable supply-voltage scheme for low-power high-speed CMOS digital designIEEE J. Solid State Circuits, 33
Masakatsu Nakai, Satoshi Akui, Katsunori Seno, Tetsumasa Meguro, Takahiro Seki (2008)
Dynamic voltage and frequency management for a low-power embedded microprocessorIEEE J. Solid-State Circ., 40
Anantha Chandrakasan, and Borivoje Nikolic. 2003. Digital Integrated Circuits
C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, M. Buehler, V. Chikarmane, T. Ghani, T. Glassman, R. Grover, W. Han, D. Hanken, M. Hattendorf, P. Hentges, R. Heussner, J. Hicks, D. Ingerly, P. Jain, S. Jaloviar, R. James, Derek Jones, J. Jopling, S. Joshi, C. Kenyon, Huichu Liu, R. McFadden, B. Mcintyre, J. Neirynck, C. Parker, L. Pipes, I. Post, S. PradhanSameer, M. Prince, S. Ramey, T. Reynolds, J. Roesler, J. Sandford, J. Seiple, P. Smith, C. Thomas, D. Towner, T. Troeger, C. Weber, P. Yashar, K. Zawadzki, K. Mistry (2012)
A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors2012 Symposium on VLSI Technology (VLSIT)
(2008)
Temperatureaware distributed run-time optimization on MP-SoC using game theory
C. Mazure, R. Ferrant, B. Nguyen, W. Schwarzenbach, C. Moulin (2010)
FDSOI: From substrate to devices and circuit applications2010 Proceedings of ESSCIRC
(2013)
The cloud begins with coal – Big data, big networks, big infrastructure, and big power: An overview of the electricity used by the global digital ecosystem
Yu-Ming Lin, Joerg Appenzeller, J. Knoch, P. Avouris (2005)
High-performance carbon nanotube field-effect transistor with tunable polaritiesIEEE Transactions on Nanotechnology, 4
Yang-Kyu Choi, Nick Lindert, Peiqi Xuan, Stephen Tang, Daewon Ha, Erik Anderson, Tsu-Jae King, Jeffrey Bokor, Chenming Hu (2001)
Sub-20nm CMOS FinFET technologiesProceedings of the IEEE International Electron Devices Meeting (IEDM'01).
T. Matsukawa, K. Endo, Yongxun Liu, S. O'Uchi, M. Masahara, Y. Ishikawa, H. Yamauchi, J. Tsukada, K. Ishii, K. Sakamoto, E. Suzuki (2008)
Dual metal gate FinFET integration by Ta/Mo diffusion technology for Vt reduction and multi-Vt CMOS applicationESSDERC 2008 - 38th European Solid-State Device Research Conference
Kohei Onizuka, Takayasu Sakurai (2005)
VDD-hopping accelerator for on-chip power supplies achieving nano-second order transient timeProceedings of the Asian Solid-State Circuits Conference (ASSCC'05).
Nowadays, power consumption is one of the main limitations of electronic systems. In this context, novel and emerging devices provide new opportunities to extend the trend toward low-power design. In this survey article, we present a transversal survey on energy-efficient techniques ranging from devices to architectures. The actual trends of device research, with fully depleted planar devices, tri-gate geometries, and gate-all-around structures, allows us to reach an increasingly higher level of performance while reducing the associated power. In addition, beyond the simple device property enhancements, emerging devices also lead to innovations at the circuit and architectural levels. In particular, devices whose properties can be tuned through additional terminals enable a fine and dynamic control of device threshold. They also enable designers to realize logic gates and to implement power-related techniques in a compact way unreachable to standard technologies. These innovations reduce power consumption at the gate level and unlock new means of actuation in architectural solutions like adaptive voltage and frequency scaling.
ACM Journal on Emerging Technologies in Computing Systems (JETC) – Association for Computing Machinery
Published: Sep 2, 2015
Keywords: AVFS
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.