Get 20M+ Full-Text Papers For Less Than $1.50/day. Start a 14-Day Trial for You or Your Team.

Learn More →

A constraint programming approach for integrated spatial and temporal scheduling for clustered architectures

A constraint programming approach for integrated spatial and temporal scheduling for clustered... A Constraint Programming Approach for Integrated Spatial and Temporal Scheduling for Clustered Architectures MIRZA BEG and PETER VAN BEEK, University of Waterloo Many embedded processors use clustering to scale up instruction-level parallelism in a cost-effective manner. In a clustered architecture, the registers and functional units are partitioned into smaller units and clusters communicate through register-to-register copy operations. Texas Instruments, for example, has a series of architectures for embedded processors which are clustered. Such an architecture places a heavier burden on the compiler, which must now assign instructions to clusters (spatial scheduling), assign instructions to cycles (temporal scheduling), and schedule copy operations to move data between clusters. We consider instruction scheduling of local blocks of code on clustered architectures to improve performance. Scheduling for space and time is known to be a hard problem. Previous work has proposed greedy approaches based on list scheduling to simultaneously perform spatial and temporal scheduling and phased approaches based on first partitioning a block of code to do spatial assignment and then performing temporal scheduling. Greedy approaches risk making mistakes that are then costly to recover from, and partitioning approaches suffer from the wellknown phase ordering problem. In this article, we present a http://www.deepdyve.com/assets/images/DeepDyve-Logo-lg.png ACM Transactions on Embedded Computing Systems (TECS) Association for Computing Machinery

A constraint programming approach for integrated spatial and temporal scheduling for clustered architectures

Loading next page...
 
/lp/association-for-computing-machinery/a-constraint-programming-approach-for-integrated-spatial-and-temporal-nvvXj3mHzz

References (46)

Publisher
Association for Computing Machinery
Copyright
Copyright © 2013 by ACM Inc.
ISSN
1539-9087
DOI
10.1145/2512470
Publisher site
See Article on Publisher Site

Abstract

A Constraint Programming Approach for Integrated Spatial and Temporal Scheduling for Clustered Architectures MIRZA BEG and PETER VAN BEEK, University of Waterloo Many embedded processors use clustering to scale up instruction-level parallelism in a cost-effective manner. In a clustered architecture, the registers and functional units are partitioned into smaller units and clusters communicate through register-to-register copy operations. Texas Instruments, for example, has a series of architectures for embedded processors which are clustered. Such an architecture places a heavier burden on the compiler, which must now assign instructions to clusters (spatial scheduling), assign instructions to cycles (temporal scheduling), and schedule copy operations to move data between clusters. We consider instruction scheduling of local blocks of code on clustered architectures to improve performance. Scheduling for space and time is known to be a hard problem. Previous work has proposed greedy approaches based on list scheduling to simultaneously perform spatial and temporal scheduling and phased approaches based on first partitioning a block of code to do spatial assignment and then performing temporal scheduling. Greedy approaches risk making mistakes that are then costly to recover from, and partitioning approaches suffer from the wellknown phase ordering problem. In this article, we present a

Journal

ACM Transactions on Embedded Computing Systems (TECS)Association for Computing Machinery

Published: Aug 1, 2013

There are no references for this article.